数字逻辑第四章课后答案

数字逻辑第四章课后答案
数字逻辑第四章课后答案

数字逻辑第四章课后答案

盛建伦:《数字逻辑与VHDL逻辑设计》习题解答习题4解答4-1 试用与非门设计实现函数F(A,B,C,D)=Σm(0,2,5,8,11,13,15)的组合逻辑电路。解:首先用卡诺图对函数进行化简,然后变换成与非-与非表达式。化简后的函数4-2 CD AB 00 01 00 1 0 01 0 1 11 10 0 1 1 0 11 10 0 0 1 1 1 0 0 0 A& & B C& & & & & F& F?B?C?D?A?B?D?BCD?ACD?B?C?D?A ?B?D?BCD?ACD?B?C?D?A?B?D?BCD? ACDD& 试用逻辑门设计三变量的奇数判别电路。若输入变量中1的个数为奇数时,输出为1,否则输出为0。解:本题的函数不能化简,但可以变换成异或表达式,使电路实现最简。真值表:逻辑函数表达式: A B C 0 0 0 0 0 1 0 1 0 0 1 1 1 0

0 1 0 1 1 1 0 1 1 1 Y 0 1

1 0 1 0 0 1 =1 A B C 逻辑图=1 Y Y?A?B?C?A?B?C?A?B?C?A?B?C?(A?B) ?C 4 - 1 盛建伦:《数字逻辑与VHDL逻辑设计》习题解答4-3 用与非门设计四变量多数表决电路。当输入变量A、B、C、D有三个或三个以上为1时输出为1,输入为其他状态时输出为0。解:真值表:先用卡诺图化简,然后变换成与非-与非表达式:A B C D 0 0 0 0 0 0 1 0 0 0 1 1 0 1

0 0 0 1 0 1 0 1 1 0 0

1 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 Y?ABD?ABC?BCD?ACD?ABD?ABC?B CD?ACD?ABD?ABC?BCD?ACDY 0 0 0 0 0 0 1 0 0 1 0 1 1 1 C& 0 0 0 1 0 AB 00 01 11 10 CD 00 01 0 0 0 0 0 0 1 0 11 10 0 1 1 1 0 0 1 0 1 0 0 1 0 逻辑图A& B& & Y

逻辑函数表达式: D 4-4 & 用门电路设计一个代码转换电路,输入为4位二进制代码,输出为4位循环码。解:首先根据所给问题列出真值表,然后用卡诺图化简逻辑函数,按照化简后的逻辑函数画逻辑图。

4 - 2 盛建伦:《数字逻辑与VHDL 逻辑设计》习题解答真值表:卡诺图化简: A B C D Y1 Y2 Y3 Y4 0 0 0 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 1

0 0 0 1 1 0 0 1 1 0 0

1 0 0 1 0 0 0 1 1 0 0 1 0 1 0 1 1 1 0 1 1 0 0 1 0 1 0 1 1 1 0 1 0 0 1 0 0 0 1 1 0 0 1 0 0 1 1 1 0 1 1 0 1 0 1 1 1 1 1 0 1 1 1 1 1 0 1 1 0 0 1 0 1 0 1 1 0 1 1

0 1 1 1 1 1 0 1 0 0 1

1 1 1 1 1 0 0 0 AB CD 00 01 11 10 00 0 0 1 1 01 1 1

0 0 11 1 1 0 0 10 0 0 1 1 Y3的卡诺图化简后的逻辑函数:Y1?AY2?AB?AB?A?B Y3?BC?BC?B?C Y4?CD?CD?C?D 4 - 3 AB CD 00 01 11 10 00 0 0 0 0 01 0 0 0 0 11 1 1 1 1 10 1 1 1 1 Y1的卡诺图AB CD 00 01 11 10 00 0 0 0 0 01 1 1 1 1 11 0 0 0 0 10 1 1 1 1 Y2的卡诺图AB CD 00 01 11 10

00 0 1 0 1 01 0 1 0 1 11 0 1 0 1 10 0

1 0 1 Y4的卡诺图逻辑图Y1Y2Y3Y4=1 =1 =1 ABCD盛建伦:《数字逻辑与VHDL逻辑设计》习题解答4-5 图所示是一个两台水泵向水池供水的系统。水池中安置了A、B、C 三个水位传感器。当水池水位低于C点时,两台水泵同时供水。当水池水位低于B点且高于C点时,水泵M1单独供水。当水池水位低于A点且高于B点时,水泵M2单独供水。当水池水位高于A 点时,两台水泵都停止供水。试设计一个水泵控制电路。要求电路尽可能简单。

M1ABCM2图习题4-5的示意图解:设水位低于传感器时,水位传感器的输出为1,水位高于传感器时,水位传感器的输出为0。首先根据所给问题列出真值表。其中有几种情况是不可能出现的,用约束项表示。 A B

C 0 0 0 0 0 1 0 1 0 0

1 1 1 0 0 1 0 1 1 1 0 1 1 1 如果利用约束项化简如果不利用约束项化简M1 M2

0 0 ××××××A 0 1 BC 00

01 × × 11 10 × × 0 0 1 1 A 0 1 BC 00 01 × × 11 10 × × 0 1 1 0 0 1 ×× 1 0 1 1 M1的卡诺图M2的卡诺图M1?BM1?AB 逻辑图M2?C?ABM1M2≥1

M2?ABC?AB?C?A(B?C)M1& M2& = & 1 BACABC(a) 用约束项化简(b) 不用约束项化简 4 - 4 盛建伦:《数字逻辑与VHDL逻辑设计》习题解答习题4-5的逻辑图4-6 试用3线-8线译码器74HC138

和门电路实现如下多输出逻辑函数并画出逻辑图。Y1?ABC?A(B?C)Y2?AC?ABY3?(A?B)( A?C)Y4?ABC?A?B?C解:先将逻辑函数变换成最小项之和的形式Y1?ABC?AB?AC?ABC?ABC?ABC?A?B CY2?ABC?A?B?C?ABC?AB?C

Y?AB?AC?ABC?ABC?ABC?ABC3再变换成与74HC138一致的形式Y 1?ABC?ABC?ABC?A?BC?m?m?m?m 53211 逻辑图& & Y4 Y?ABC?A?B?C?ABC?AB?C

2?m7?m4?m3?m2Y3?ABC?ABC?ABC?A BC?m7?m5?m3?m2Y4?ABC?A?B?C?m7 ?m0A B C Y0Y1S3Y2 Y3 74HC138Y4Y5A2Y6A1Y7A0S 1S 2Y1 & Y2 & Y3令74HC138的A2= A,A1=B,A0= C,4-7 试用3线-8线译码器74HC138和逻辑门设计一组合电路。该电路输入X,输出Y均为3位二进制数。二者之间关系如下:当2≤X X解:首先根据所给问题列

出真值表。X2 X1 X0 0 0 0 0

0 1 0 1 0 0 1 1 1 0 0

1 0 1 Y

2 Y1 Y0 0 0 1 0 0 1 0 0 0 0 0 1 0 1 0 0 1 1 逻辑图 1 Y7 S

3 Y6S2Y5S1Y4A2 A1 A0 74HC138 & & Y2 Y1 Y0 X2

4 -

5 X1 X0 Y3Y2Y1Y0&

盛建伦:《数字逻辑与VHDL逻辑设计》习题解答4-14 图所示是3线8线译码器74HC138和8选1数据选择器构成的电路。试分析①当数据C2C1C0= D2D1D0时,输出F=? ②当数据C2C1C0≠D2D1D0时,输出F=?解:①当数据C2C1C0= D2D1D0时,输出F=0 ②当数据C2C1C0≠D2D1D0时,输出F=1 这个电路可以检验数据C2C1C0与D2D1D0是否相同。4-15 设计用3个开关控制一个电灯的逻辑电路,要求

改变任何一个开关的状态都能控制电灯亮变灭或者灭变亮。用数据选择器实现。1Y7Y6Y5 Y474HC138 Y3Y2Y1A2A1A0Y0C2C1C0S1 S2 S3D7D6D5 8选1数据选择器D4D3YFD2D1D0A2A1A0D2D1D0图习题4-14的电路解:用A、B、C 分别表示3个开关的状态,Z=1表示电灯亮,Z=0表示电灯灭。令ABC=000时的状态Z=0。真值表:逻辑函数表达式: A B C 0 0 0 0

0 1 0 1 0 0 1 1 1 0 0

1 0 1 1 1 0 1 1 1 Z 0 1 1 0 1 0 0 1 Z?A?B?C?A?B?C?A?B?C?A?B?C

4 - 11 盛建伦:《数字逻辑与VHDL 逻辑设计》习题解答C3个变量,可以用4选1数据选择器实现。若用输入变量AB作为地址,C作为数据输入,AB1 A1 A0 D3 D2 D1 D0 即A1=A,A0=B,D0= D3=C,D1=D2= C 。4-16 逻辑图4

选1 数据选择器Y Z试用逻辑门设计一个带控制端的半加/半减器,控制端X=1时为半加器,X=0时为半减器。解:根据所给问题列出真值表。A、B为加/减的两个数。做加法运算时,S为半加/半减的和/首先差的输出,CO为进位输出。做减法运算时,S为差的输出,CO为借位输出。半加器的功能是S=A+B。半减器的功能是S=A-B。真值表:X A B 0 0 0 0

0 1 0 1 0 0 1 1 1 0 0

1 0 1 1 1 0 1 1 1 S CO 0 0 1 1 1 0 0 0 0 0 1 0 1 0 0 1 逻辑函数不能化简,但是可以变换成异或表达式。S?X?AB?XAB?XAB?XAB?X(A?B)?X(A ?B)S =1 CO & ?A?BCO?X?AB?XAB = ?(X?A)B按照变换后的逻辑函数画逻辑图。 A B X4-17 试用3线-8线译码器74HC138和门电路设计一个1位二进制全减器电路。输入是被减数、减数和来自低位的借位;输出是两数之

差和向高位的借位信号。解:全减器的功能是Si=Ai-Bi-Ci。首先根据所给问题列出真值表。真值表:逻辑函数:Ai Bi Ci Si CO S?Ai?BiC?AiBCi?ABi?Ci?ABCiiiiiii

4 - 12 盛建伦:《数字逻辑与VHDL 逻辑设计》习题解答0 0 0 0

0 1 0 1 0 0 1 1 1 0 0

1 0 1 1 1 0 1 1 1 0 0 1 1 1 1 0 1 1 0 0 0 0 0 1 1 CO?Ai?BiCi?AiBiCi?AiBiCi?AiBiCi把逻辑函数式变换成与74HC138的逻辑函数相同的形式:Si?Ai?BiCi?AiBiCi?AiBi?Ci?AiBiCi?m1? m2?m4?m71247 ?m?m?m?m 4-18

1CO?Ai?BiCi?AiBiCi?AiBiCi?AiBiCi?m 1?m2?m3?m7?m1?m2?m3?m7逻辑图Ai Bi Ci Y7 3S Y6S2Y5S1 Y4A2 A1 A0 74HC138 & Si Y3Y2Y1Y0& CO 试用4位数据比较器CC14585设计一个判别电路。若输

入的数据代码D3D2D1D0>1001时,判别电路输出为1,否则输出为0。解:从CC14585的一个端口输入数据D3D2D1D0,另一个端口输入1001。CC14585的扩展输入端IA>B和IA=B必须接高电平,IA 1 0 0 1 逻辑图D0 D1 D2 D3 1 A0A1A2CC14585A3IA?BYA?BIA?BYA? BIA?BYA?BB0B1B2B3F 4-19 试根据表的功能表,用逻辑门设计一个数据分配器。A1、A0为地址输入,D为数据输入,W3、W2、W1、W0为数据输出。数据分配器的功能正好与数据选择器相反,是按照所给的地址把一个输入数据从N 个输出通路中选择一个输出,如图所示。表习题4-19的功能表4 - 13 盛建伦:《数字逻辑与VHDL 逻辑设计》习题解答A1 A0 D

0 0 0 0 0 1 0 1 0 0 1

1 1 0 0 1 0 1 1 1 0 1 1 1DW3 W

2 W1 W0 0 0 0 0 0 0 0 1 0 0 0

0 0 0 1 0 0 0 0 0 0 1 0 0 0 0 0 0 1 0 0 0 W3W2W1W0 DeMUX A1A0图数据分配器解:表的功能表可以简化为A1 A0 W3 W2 W1 W0 0 0 0 0 0 D 0 1 0 0 D 0 1 0 0 D 0 0 1 1 D 0 0 0 逻辑函数W0?A1?A0?D 逻辑图W3& W2& W1& W0& W1?A1A0?DW2?A1A0?DW3?A1A0?DA 1A01 1 D4-20 试比较图所示两个逻辑电路的功能。F1 & 1 01DCBAA0 D0 D1 D2 D3 D4 D5 D6 D7 A1 8选 1 数据选择器A2 Y Y 15Y14Y13Y12Y11Y10Y9Y8Y7Y6Y5Y4 Y3Y2Y1Y04-16译码器A3A2A1A0AF2 4 - 14 BCD盛建伦:《数字逻辑与VHDL逻辑设计》习题解答图习题4-20的电路解:根据图写出逻辑函数式。8选 1 数据选择器的连接关系是:

D6= D7= D,D1=0,D2= 1,D5= D4= D3= D0= D ,A2=A,A1= B,A0= C ,所以,F2?ABC?D7?ABC?D6?ABC?D5?AB?C? D4?ABC?D3?ABC?1?A?B?C?D0?ABCD ?ABCD?ABCD?AB?C?D?ABCD?ABC? A?B?C?D?ABCD?ABCD?ABCD?AB?C? D?ABCD?ABCD?ABC?D?A?B?C?DF1? m15?m13?m10?m8?m6?m5?m4?m0?AB CD?ABCD?ABCD?AB?C?D?ABCD?AB CD?ABC?D?A?B?C?D?ABCD?ABCD?A BCD?AB?C?D?ABCD?ABCD?ABC?D? A?B?C?D比较FI和F2的,可看出,两个电路的逻辑函数相同,所以逻辑功能也相同。4-21 用VHDL设计一个代码转换电路,输入为4位循环码,输出为4位二进制代码。解:首先画出代码转换电路的系统框图,如根据所给问题列出真值表。G3 G2 G1 G0 0 0 0 0 0 0 0 1

0 0 1 1 0 0 1 0 0 1

1 0 0 1 1 1 0 1 0 1 0

1 0 0 B3 B

2 B1 B0 0 0 0 0 0 0 0 1 0 0 1 0 0

0 1 1 0 1 0 0 0 1 0 1 0

1 1 0 0 1 1 1 G3B3代码转换电路……… … G0B0 4 - 15

盛建伦:《数字逻辑与VHDL逻辑设计》习题解答 1 1

0 0 1 1 0 1 1 1 1

1 1 1 1 0 1 0 1 0 1 0 1 1 1 0 0 1 1 0 0 0 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 根据系统框图写VHDL程序的Entity,用行为描述的方法,根据真值表写VHDL程序的Architecture。VHDL程序如下:--Gray code to Binary code library ieee; use _logic_; entity gray2binary is port( grayin : in std_logic_vector(3 downto 0);binaryout : out std_logic_vector(3

downto 0)); end gray2binary; architecture behave of gray2binary is begin with grayin select binaryout 4 - 16 盛建伦:《数字逻辑与VHDL逻辑设计》习题解答4-22 用VHDL 设计一个代码转换逻辑电路。把4位二进制代码转换成7段字符显示代码。能显示数字0~9和字母A,b,C,d,E,F。解:电路的输入是4位代码,输出是7位代码。用D3~D0作为输入信号名,用Ya~Yg作为输出信号名,分别对应a、b、c、d、e、f、g这7个段。系统框图…… 列出代码转换逻辑的真值表。表代码转换逻辑电路的真值表D3 D2 D1 D0 Ya Yb Yc Yd Ye Yf Yg 0 0 0

0 0 0 0 1 0 0 1 0 0 0

1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0

0 1 0 0 1 1 0 1 0 1 0

1 1 1 1 0 0 1 1 0 1 1

1 1 0 1 1 1 1 根据系统框图设计VHDL程序的entity,用行为描述的方法,根据真值表设4 - 17 Ya Yb Yc Yd Ye Yf Yg 代码转换电路D3 D

2 D1 D0 … 显示字符0 1 2

3

4

5

6

7

8

9 A b C d E F 字形 1 1 1 1 1 1

00 1 1 0 0 0 0

1 1 0 1 1 0 1 1 1 1 1 0 0 10 1 1 0

0 1 1 1 0 1 1 0 1

1 1 0 1 1 1 1 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 1 1 0

0 1 1 1 1 1 0 1 1

1 0 0 1 1 1 1 1 1

0 0 1 1 1 0 0 1 1

1 1 0 1 1 0 0 1 1 1 1 1 0 0 0 1 1 1 盛建伦:《数字逻辑与VHDL逻辑设计》习题解答计VHDL程序的architecture。library ieee; use

_logic_; entity bcd2seg7 is port( bcdin : in std_logic_vector(3 downto 0);segout : out std_logic_vector(6 downto 0)); end bcd2seg7; architecture behav of bcd2seg7 is begin with bcdin select segout \\\\ --display\\\ --display\ \ --display\\ --display\\ --display\\ --display\\ --display\\ --display\\end behave; 4-22 (有没有简单方法呢?) 用VHDL设计一个代码转换逻辑电路。把7位的ASCII码转换成7段字符显示代码。能显示数字0~9,字母A,b,C,d,E,F,H,L,o,P,U,等。解:ASCII码7段字符显示代码Yg 字形0 字符A6 A5 A4 A3 A2 A1 A0 Ya Yb Yc Yd Ye Yf 0 0 1 1 0 0 0 0 1 1 1 1 1 1 4 - 18 盛建伦:《数字逻辑与VHDL逻辑设计》习题解答 1 2 3 4 5 6 7 8 9 A b C d E

F H L o P U 0 0 0 0 0 0 0 0 0 1 1 1

1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 0 1 0 0

0 0 1 0 0 1 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 0

1 1 0 0 0 0 0 0 0 1 1 0 0 0 0 0 0 1 1 1 0 0 0 0 0 1 1 1 1 0 0 0 0 0 1 1 1 0 1 1 0 1 0 1 1 0 0 1 1 0 0 0 1 1 0 0 1 0 0 1 0 0 1 0 1 0 1 0 1 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 1 0 1 1 1 1 1 1 0 1 0 1 1 0 0 0 1 0 1 1 1 1 0 0 1 1 1 1 0 0 1 0 0 1 0 0 1 1 1 0 1 1 1 1 1 1 1 1 1 0 1 0 0 1

0 1 0 1 0 1 1 0 1 1 0 1 0 0 1 1 1 1 0 0 1 1 0

1 0 1 0 0 0 1 0 1 0 1 1 1 1 1 1 1 1 1 1 1 0 0

0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 0 1 1 0 1 1 1 1

1 0 1 1 1 1 0 1 1 1 1 0 1 1 04-23 用VHDL设计一个16位全加器。解:4-24 用VHDL设计一个8位数值比较器。解:4-25 用VHDL设计一个四位超前进位加法器。解:4 - 19 盛建伦:《数字逻辑与VHDL 逻辑设计》习题解答-- 4-Bit carry-look-ahead Full Adder library ieee; use _logic_; entity FULL_ADDER1 is port ( A, B : in bit_vector(3 downto 0);

Cin : in bit; S : out bit_vector(3 downto 0);Cout : out BIT);end FULL_ADDER1; -- architecture FULL_ADDERp of FULL_ADDER1 is signal sA,sB,sS : bit_vector(3 downto 0); signal sCin , sCout : bit ;signal sC : bit_vector(3 downto 0) ;signal sT : bit_vector(3 downto 0) ;signal sG : bit_vector(3 downto 0) ; begin sA sT(0) sG(3) sC(0)sC(1) sC(2)-- sS(0) 4 - 20

盛建伦:《数字逻辑与VHDL逻辑设计》习题解答sS(3) S 4-26 试用两片74HC138实现8421 BCD码的译码。解:输入的8421 BCD码的D0~D2位直接与74HC138的代码输入端连接,8421 BCD 码的D3分别与74HC138的一个使能端连接。当D3=0时,Z0~Z7中的某个输出有效;D3=1时,Z8或Z9输出有效。

逻辑图:D2 D1 D0 1 D3 Y7 S3Y6S2Y5S1Y4 Y3Y2A2 A1 Y1A0 YY7 S3Y6S2 Y5S1Y4Y3Y2A2 A1 Y1A0 Y74HC138 074HC138 0Z9Z8Z7Z0 4 - 21 盛建伦:《数字逻辑与VHDL 逻辑设计》习题解答4 - 22

数字逻辑第四章

第四章组合逻辑电路 ---------------------------------------------------------------------------- 1 : 在组合电路中,任意时刻的输出与 A:该时刻的输入无关,与电路的原来状态有 B:该时刻的输入有关,与电路的原来状态有关 C:该时刻的输入无关,与电路的原来状态无关 D:该时刻的输入有关,与电路的原来状态无关 您选择的答案: 正确答案:D 知识点:组合逻辑电路的特点:组合逻辑电路中,任意时刻的输出仅仅取决于该时刻的输入,与电路原来的状态无关 ---------------------------------------------------------------------------- 2 : 编码器的逻辑功能是将 A:输入的高、低电平编成对应输出的高、低电平 B:输入的二进制代码编成对应输出的高、低电平 C:输入的高、低电平编成对应输出的二进制代码 D:输入的二进制代码编成对应输出的二进制代码 您选择的答案: 正确答案:C 知识点:在二值逻辑电路中,编码器的逻辑功能是将输入的每一个高、低电平信号编成一个对应的二进制代码 ---------------------------------------------------------------------------- 3 : 对于普通编码器和优先编码器下面的说法正确的是 A:普通编码器和优先编码器都允许输入多个编码信号 B:普通编码器和优先编码器都只允许输入一个编码信号 C:普通编码器只允许输入一个编码信号,优先编码器允许输入多个编码信号 D:普通编码器允许输入多个编码信号,优先编码器只允许输入一个编码信号 您选择的答案: 正确答案:C 知识点:在普通编码器中,任何时刻只允许输入一个编码信号,否则输出将发生混乱;优先编码器在设计时已将所有的输入信号按优先顺序排了队,当几个输入信号同时出现时,只对其中优先权最高的一个进行编码,所以允许同时输入两个以上的编码信号 ---------------------------------------------------------------------------- 4 : 8线—3线优先编码器74HC148输入端I1’、I5’同时有效时输出二进制数为 A:101 B:100 C:001 D:010 您选择的答案: 正确答案:D

数字逻辑课程三套作业及答案

数字逻辑课程三套作业及答 案 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

数字逻辑课程作业_A 一、单选题。 1.(4分)如图x1-229 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第五章 解析第五章译码器 2.(4分)如图x1-82 (C)。 A. (A) B. (B) C. (C) D. (D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 3.(4分)N个触发器可以构成最大计数长度(进制数)为(D)的计数器。 A. N B. 2N C. N2次方 D. 2N次方 知识点:第九章 解析第九章计数器

4.(4分)n个触发器构成的扭环型计数器中,无效状态有(D)个。 A. A. n B. B.2n C. C.2n-1 D. D.2n-2n 知识点:第九章 解析第九章集成计数器 5.(4分)如图x1-293 (A)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 6.(4分)如图x1-317 (D)。 A. (A) B. (B) C. (C) D. (D)

知识点:第二章 解析第二章其他复合逻辑运算及描述 7.(4分)EPROM是指(C)。 A. A、随机读写存储器 B. B、只读存储器 C. C、光可擦除电可编程只读存储器 D. D、电可擦可编程只读存储器 知识点:第十章 解析第十章只读存储器 8.(4分)如图x1-407 (B)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 9.(4分)为实现将JK触发器转换为D触发器,应使(A)。

数字设计原理与实践(第四版)课后各章节习题答案

3.11 对图X3.11(a)所示的AOI 电路图,采用AND,OR,INV 画出对应的逻辑图。 解:Z = (A?B + C + D)' 3.12 对图X3.11(b)所示的OAI 电路图,采用AND,OR,INV 画出对应的逻辑图。 解:Z = ((A + B)?C ?D)' 13 画出NOR3 对应的电路图。 解:3 输入端或非门结构应为:上部3 个P 管串联,下部3 个N 管并 联,结构如图所示。 3.15 画出OR2 所对应的电路图。 解:在NOR2 电路的输出端后面级联一个INV。 3.59 画出图X3.59 逻辑图所对应的电路图。 解: 3.21 若输出低电平阈值和高电平阈值分别设置为1.5V 和3.5V,对图X3.21 所示的反相器特性,确定高态与低态的DC 噪声容限。解:由图中可以看到,输出3.5V 对应的输入为2.4V,输出1.5V 对应的输入为2.5V;所以,高态噪声容限为:3.5-2.5=1 V ;低态噪声

容限为:2.4-1.5=0.9 V。 3.26 利用表3-3 计算74HC00 的p 通道和n 通道的导通电阻。解:采用极端值计算(对商用芯片,最低电源电压设为 4.75V)表中所列输出电压与电流关系如图所示: 根据电流定律,高态输出时可以建立下列方程: p n R R 0.35 0.02 = 4.4 ? ? ? ? ?? ? ? ? p n R R 0.91 4 = 3.84 ? ? ? ? ?? ? ? ? 联立求解可得:R = 0.151kΩ = 151Ωp 低态输出时可以建立下列方程: n p R R 0.1 0.02 = 4.65 ? ?? ? ??? ?

数字逻辑_习题四_答案

习题四部分习题参考答案 4.1 将下列函数简化,并用与非门和或非门画出逻辑电路图。& (3)C B C A D C A B A D C B A F +++=),,,( 解:化简得F=C B C A B A ++ F 的与非式为:F=C B C A B A ?? ,逻辑电路图如图1所示。 F 的或非式为:F=C B A C B A C B A ABC F +++++=+=,逻辑电路 图如图2所示。 图1 图2 4.3分析图4.59所示的逻辑电路图,并说明其逻辑功能。 解:(1)由逻辑电路图写出逻辑表达式并化简可得: D C D B D C D B F D BC D C B D C A D BC D C B D C A F CD ABD CD ABD F +=?=++=??=+=?=012 (2)根据逻辑表达式,其逻辑功能如表所示。 1 C 1 & 1 & & & & & & A B ≥1 0 ≥1 ≥1 ≥1 A C B ≥1 ≥1 F

由真值表可知,DCBA 表示的二进制数,当该值小于等于5,F0=1,当当该值小于等于10,大于5,F1=1,当该值小于等于15,大于10,F2=1。 4.4 试分析图4.60 所示的码制转换电路的工作原理 答:①写出逻辑表达式 001G B B =⊕ 112G B B =⊕ 223G B B =⊕ 33G B = D C B A F2 F1 F0 输 入 输 出 0 0 0 0 0 1 0 0 0 1 0 0 1 0 0 1 0 0 0 1 0 0 1 1 0 0 1 0 1 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 0 0 1 0 0 1 1 1 0 1 0 1 0 0 0 0 1 0 1 0 0 1 0 1 0 1 0 1 0 0 1 0 1 0 1 1 1 0 0 1 1 0 0 1 0 0 1 1 0 1 1 0 0 1 1 1 0 1 0 0 1 1 1 1 1 0 0

数字逻辑心得体会(多篇范文)

数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动

了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发(请你支持)扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译码电路、奇偶检验电路、计算机i/o接口地址译码电路,设计火灾

数字逻辑考题及答案解析

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分

数字逻辑 习题与答案.(优选)

习题与答案 《数字逻辑与数字系统(第四版)》,白中英 第1章习题P30 7 证明下列等式 (2) AC AB C AB C B A ABC +=++ 证明: AB AC ABC C AB C B A ABC +=+++=左式 8 用布尔代数简化下列各逻辑函数表达式 (4) C AB C B BC A AC F +++= 解: BC BC BC A C B A BC C B A BC A ABC C B A C B BC A AC F =+=++=+++=++++=) () )(())()(( 9 将下列函数展开为最小项表达式 (1) )(),,(C B A C B A F += 解: ∑=+++++=+++++=+++=+++=+=() 7,6,5,4,1()()()()(),,(m C B A C B A C B A C B A C AB ABC C B A C B A C C B A C C AB C B A C B A B A AB C B A A B B A C B A C B A F 10 用卡诺图化简下列各式 (2) C B A D A B A D C AB CD B A F ++++= 解: C 由卡诺图知,D A B A F +=

(4) ∑∑ +=?)11,10,9,3,2,1()15,14,13,0(),,,(m D C B A F 解: A C AD AC B A F ++= 12 逻辑函数 A C C B B A X ++=,试用真值表、卡诺图、逻辑图、波形图表示该函数。 解:(1)真值表 (2)卡诺图 (3)逻辑图 (4)波形图 14 输入信号A ,B ,C 的波形如图P1.2所示,试画出电路输出F1、F2的波形图 B F C B A

数字逻辑设计习题第4章

第4章 组合逻辑电路 4—1 分析下图所示电路的逻辑功能,写出输出的逻辑表达式,列出真值表,说明其逻辑功能。 4—2 逻辑电路如下图所示: 1、写出S 、C 、P 、L 的函数表达式; 2、当取S 和C 作为电路的输出时,此电路的逻辑功能是什么? 4—3 下图是由三个全加器构成的电路,试写出其输出1F ,2F ,3F ,4F 的表达式。 123 B C Z

P和4—4 下图是由3线/8线译码器74LS138和与非门构成的电路,试写出 1 P的表达式,列出真值表,说明其逻辑功能。 2 Array 4—5使用74LS138 译码器及少量门电路对三台设备状态进行监控,由不同指示灯进行指示。当设备正常工作时,指示灯绿灯亮;当有一台设备出故障时,指示灯红灯亮;当有两台设备出故障时,指示灯黄灯亮;当有三台设备 出故障时,指示灯红灯和黄灯都亮。

4—6 下图4.6是由八选一数据选择器构成的电路,试写出当1G 0G 为各种不同的取值时的输出Y 的表达式。 4—7仿照全加器设计一个全减器,被减数为A ,减数为B ,低位来的借位为C ,差为D ,向上借一位为J 。 要求:1.写出真值表,写出D 与J 的表达式;2.用译码器74LS138和必要的基本门电路实现此电路;3.用双四选一数据选择器实现。 G A

4—8 设计一组合逻辑电路,输入为四位二进制码3B 2B 1B 0B ,当 3B 2B 1B 0B 是BCD8421码时输出1=Y ;否则0=Y 。列出真值表,写出 与或非表达式,用集电极开路门实现。 4—9 设计一个多功能组合数字电路,实现下表所示逻辑功能。表中1C 0C 为功能选择输入信号;A ,B 为输入变量;F 为输出。 1.列出真值表,写出F 的表达式; 2.用八选一数据选择器和门电路实现。

数字逻辑武汉工程大学第四版

武汉工程大学数学逻辑答案 第3章 组合逻辑电路 3.1解题指导 例3-1 试写出图3-1所示电路输出F 的表达式。74148为优先编码器。其功能见表3-1所示。 表3-1 74148的真值表 解:图3-1中电路的74148的70~I I 虽然都接地,但只对7I 编码,74151的A 2A 1A 0等于74148的012A A A 等于000,使F =D 0=A 。 例3-2 试分析图3-2所示电路的逻辑功能。 图3-1 例3-1逻辑图 B A 0 5图3-2 例3-2 的逻辑图

解:题示电路中74138的A 2=0,使74138变成2线-4线译码器。AB =00时,00=F 1321===F F F 。 若此时CD =00,则F =D 0=0;而CD ≠00时,F ≠D 0,F =1。故该电路的功能为AB =CD 时,输出F =0,AB ≠CD 时,F =1。 例3-3人类有四种基本血型—A 、B 、AB 、O 型。输血者与受血者的血型必须符合下述原则:O 型血可以输给任意血型的人,但O 型血只能接受O 型血;AB 型血只能输给AB 型,但AB 型能接受所有血型;A 型血能输给A 型和AB 型,但只能接受A 型或O 型血;B 型血能输给B 型和AB 型,但只能接受B 型或O 型血。试用与非门设计一个检验输血者与受血者血型是否符合上述规定的逻辑电路。如果输血者与受血者的血型符合规定电路输出“1”(提示:电路只需要四个输入端。它们组成一组二进制代码,每组代码代表一对输血—受血的血型对)。 解:用变量A 、B 、C 、D 表示输血者、受血者的血型对作为输入变量,用F 表示血型是否符合作为输出变量。得到血型与二进制数间的对应关系,从而得到真值表如表3-2所示。 血型与二进制数对应关系 表 由真值表画出卡诺图如图3-3所示。 由卡诺图得表达式如下:C B CD D A B A C B CD D A B A F ???=+++= 由表达式画出逻辑图如图3-4所示。 B O A B

数字逻辑实验教案

滁州学院计算机与信息工程学院 实验课程教案 课程名称:数字电路分析与设计 授课教师:姚光顺 授课对象:11网工、计科 授课时间:20XX年2月-2012月7月 滁州学院计算机与信息工程系 20XX年2月 《数字逻辑》实验教学大纲

课程编号: 课程名称:数字逻辑 英文名称:Digital Logic 课程类型:专业基础课 课程属性:独立设课 总学时:16总学分:0.5 开设学期:2 适用专业:计算机科学与技术网络工程 先修课程:大学物理电路原理 一、实验课程简介 数字逻辑实验,是数字逻辑课程教学内容的延伸和加强。在电子产品广泛应用的前提下,对于每一个大学生,具备一定电工电子基本知识和应用能力是必不可少的。因此,数字逻辑实验教学是按在相关理论教学的基础上,根据教学实际情况所开设的重点技术基础实验课程。通过实验,可以加深学生对课程内容中重点、难点的理解,培养其动手能力。 二、实验教学目标与基本要求 本课程的作用与任务是:使学生进一步掌握数字逻辑电路的分析与设计的基本方法,了解数字逻辑物理器件的主要技术参数,以及物理设计中的制作、调试、故障诊断的基本技能。要求学生在实验原理指导下,熟悉和掌握常用中、大规模集成电路的功能和在实际中应用的方法,具备基本电路的设计能力。培养学生检查与排除电路故障、分析和处理实验结果、分析误差和撰写实验报告的能力,旨在培养学生综合运用知识能力、严谨细致的工作作风和一丝不苟的科学态度。 三、本实验课程的基本理论与实验技术知识 本实验课基于门电路、组合逻辑电路、触发器、时序逻辑电路、A/D和D/A转换的基本理论而设定。 四、实验方法、特点与基本要求 实验分为实验预习、实验操作、实验总结三个步骤: 1、实验预习 1) 明确实验目的,理解实验原理; 2) 了解实验环境; 3) 了解实验方法,拟定实验的操作步骤; 2、实验操作 1) 建立实验环境,进行实验操作,培养实践动手能力 2) 实验过程中认真观察实验现象,详细记录实验结果 3) 实验结束前,整理好实验设备,经指导教师验收方可退出实验室 3、实验总结 通过对实验记录的整理,以加深对所学理论知识的理解,不断总结、积累经验,从而提高动手能力。

数字逻辑课程设计

《数字逻辑课程设计》 实验报告书 专业班级计算机科学与技术074班 学号 姓名 指导教师徐国雄 安徽工业大学计算机学院

数字逻辑课程设计之数字钟 一.设计目的 1.掌握各类计数器及将它们相连的方法; 2.掌握多个数码管动态显示的原理与方法; 3.掌握用FPGA技术的层次化设计方法; 4.进一步掌握用VHDL硬件描述语言的设计思想; 5.了解有关数字系统的设计。 二.设计要求 1、显示时、分、秒的十进制数字显示,采用24小时制; 2、校时功能; 三.选用器材 EDA-V试验箱 四.原理分析和电路设计 1.时钟脉冲 时钟脉冲有EDA-V试验箱上的时钟脉冲提供,分别对计时电路和扫描 LED电路提供时钟脉冲信号。 2.计数器 计数器采用4位二进制同步加法计数器74LS161,如下图所示。分别对秒 钟、分钟和时钟进行控制。当74161的两个使能端输入为高电平,同时 LDN和CLRN端为高电平时,输入时钟脉冲时,计数器会在时钟脉冲上升沿的作用下进行循环加一运算,正好符合电子钟在正常计时时的工作特点;当CLRN端为低电平时,无需时钟脉冲的作用,计数器的输出将自动变为0,这就是所谓的异步清零,这个功能可以提供我们当秒钟的后半部分到达10需要返回到0时,将其置为0的功能;当CLRN端为高电平,LDN端为低电平时,在时钟脉冲的上升沿的推动下,计数器的输出将直接由输入决定,这可以满足我们设置时间的要求。 3.译码显示电路 译码显示采用自己定义的两个用AHDL语言描述的自定义元件半字节分离器和扫描显示电路驱动DELED 。 图像分别如下: 描述文件如下: Half_byte:

SUBDESIGN half_byte ( din[23..0]:input; s[2..0]:input; dout[3..0]:output; ) begin if s[2..0]==0 then dout[3..0]=din[3..0]; end if; if s[2..0]==1 then dout[3..0]=din[7..4]; end if; if s[2..0]==2 then dout[3..0]=din[11..8]; end if; if s[2..0]==3 then dout[3..0]=din[15..12]; end if; if s[2..0]==4 then dout[3..0]=din[19..16]; end if; if s[2..0]==5 then dout[3..0]=din[23..20]; end if; end; DELED: SUBDESIGN deled ( num[3..0]:INPUT; a,b,c,d,e,f,g:OUTPUT; ) BEGIN TABLE num[3..0]=>a,b,c,d,e,f,g; H"0" =>1,1,1,1,1,1,0; H"1" =>0,1,1,0,0,0,0; H"2" =>1,1,0,1,1,0,1; H"3" =>1,1,1,1,0,0,1; H"4" =>0,1,1,0,0,1,1; H"5" =>1,0,1,1,0,1,1; H"6" =>1,0,1,1,1,1,1; H"7" =>1,1,1,0,0,0,0; H"8" =>1,1,1,1,1,1,1; H"9" =>1,1,1,1,0,1,1; H"A" =>0,0,1,1,1,1,1; H"B" =>0,0,1,1,1,1,1; H"C" =>1,0,0,1,1,1,0; H"D" =>0,1,1,1,1,0,1; H"E" =>1,0,0,1,1,1,1; H"F" =>1,0,0,0,1,1,1; END TABLE; END; 半字节分离器的SEL[0..24]端输入的分别是时分秒的8421码的输入端口,SE[0..2]端输入的是从一个74161输入的选择信号,依次扫描六个LED灯。 2

数字逻辑习题及答案.

数字逻辑习题及答案 一. 填空题 1.一个触发器有Q和Q两个互补的输出引脚,通常所说的触发器的输出端是指 Q ,所谓置位就是将输出端置成 1 电平,复位就是将输出端置成 0 电平。 2.我们可以用逻辑函数来表示逻辑关系,任何一个逻辑关系都可以表示为逻辑函数的与或表达式,也可表示为逻辑函数的或与表达式。 3.计数器和定时器的内部结构是一样的,当对不规则的事件脉冲计数时,称为计数器,当对周期性的规则脉冲计数时,称为定时器。 4.当我们在计算机键盘上按一个标为“3”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为 33H 。 5.在5V供电的数字系统里,所谓的高电平并不是一定是5V,而是有一个电压范围,我们把这个电压范围称为高电平噪声容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平噪声容限。 二. 选择题 1.在数字系统里,当某一线路作为总线使用,那么接到该总线的所有输出设备(或器件)必须具有 b 结构,否则会产生数据冲突。 a. 集电极开路; b. 三态门; c. 灌电流; d. 拉电流2.TTL集成电路采用的是 b 控制,其功率损耗比较大;而MOS 集成电路采用的是 a 控制,其功率损耗比较小。 a. 电压; b.电流; c. 灌电流; d. 拉电流 3.欲将二进制代码翻译成输出信号选用 b ,欲将输入信号编成二进制代码选用 a ,欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用 c ,

欲实现两个相同位二进制数和低位进位数的相加运算选用 e 。 a. 编码器; b. 译码器; c. 多路选择器; d. 数值比较器; e. 加法器; f. 触发器; g. 计数器; h. 寄存器 4. 卡诺图上变量的取值顺序是采用 b 的形式,以便能够用几何 上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII 码; d. 十进制码 5. 根据最小项与最大项的性质,任意两个不同的最小项之积为 0 ,任意两个不同的最大项之和为 1 。 a. 不确定; b. 0 ; c. 1 三. 简答题 1.分别写出(或画出)JK 、D 、T 和T ’四个触发器的特征方程、真 值表和状态转换图。 2.请分别完成下面逻辑函数的化简。 1). )DE C B A (*)E D )(C B A (F ++++++= 答:原式)DE C B A (*)]E D ()C B A ([+++++++= )DE )C B A ((*))DE )C B A ((++++++=)) C B A ()C B A ((DE DE )C B A ()C B A (+++++++++++= DE = 2). )EH D B A )(B A )(C A )(C B A (F +++++++= 答:原式的对偶式为: ) H E (ABD AB AC C AB 'F ++++= ))H E (BD B C C B (A ++++=)] H E (BD B B C [A ++++==A A )'A ()''F (===∴原式 3.请分别说明A/D 与D/A 转换器的作用,说明它们的主要技术指标, 并进一步说明在什么情况下必须在A/D 转换器前加采样·保持电路。 答:A/D 与D/A 转换器分别能够将模拟量转换成数字量与数字量转换 成模拟量,通过这样的转换电路,能够将模拟系统和数字系统联

数字逻辑试题及答案

期数字逻辑试题A 一、填空题(共15分,每空1分) 1、分别写出逻辑函数的五种表示方法()、()、()、()、()。2、数字逻辑电路的两大种类分别是()电路,()电路。 3、( )和( )是衡量A/D转换器D/A转换器性能优劣的主要指标。 4、消除竞争冒险的4种方法分别是()、()、()、()。 5、555定时器电路提供了一个复位电平为()和置位电平为()。 二、选择题(共10分,每题5分) 1、将(175)10十进制数转换为二进制数下列选择结果中正确的是()。 A、二进制数(11010111)2 B、二进制数(10110011)2 C、二进制数(10011010)2 D、二进制数(1010111 1)2 2、运用摩根定理变换Y=X Q Z 的表达式,下列选择中正确的是()。 A、Y=( X + Q + Z ) B、Y=(X + Q +Z) C、Y=(X + Q + Z) D、Y=( X + Q + Z ) 三、综合题(共20分,每题10分) 1、对如图电路分别写出E = 0 或E = 1 时,函数F 的逻辑表达式。 2、用图形法化简函数为最简与或式 F(A,B,C,D)=∑M(0,1,2,3,6,8)+∑D(10,11,12,13,14,15) 四、组合逻辑电路分析、设计题(共25分,1题10分,2题15分) 1、写出下图电路(电路由四个或非门组成)输出信号的逻辑表达式,并说明其功能。 F

2、用集成译码器74LS138实现下列组合逻辑函数 Z1=AB+AB+BC Z2=AB+BC+CA 30分,每题15分) 1、一个时序逻辑电路如下图所示(由两个D触发器组成),请画出其状态图。 CP 2、用JK触发器设计一个同步、上升沿触发的四进制计数器。状态图如下 /0 /0 /0 /1

数字逻辑第二章作业答案

第二章部分习题答案:(第四版) 2.1 (e) 10100.11012 =14.D16(f) F3A516 =11110011101001012 (i) 101111.01112 =57.348 2.2 (a) 12348 =0010100111002 =29C16 (e) 7436.118=111100011110.0010012=F1E.2416 2.3 (b) 7E6A16=1111110011010102=771528 (f) DEAD.BEEF16=1101111010101101.10111110111011112=157255.5756748 2.5 (e) 10100.11012=20.812510 (j) 15C.3816 =348.2187510 2.6 (b) 348910=66418 (f) 2385110=5D2B16 2.9(b) 57734 + 1066 61022 2.10(c) F35B + 27E6 11B41 2.11 decimal +25 +120 +82 -42 -6 -111 signed-magnitude 00011001 01111000 01010010 10101010 10000110 11101111 two’s-complement 00011001 01111000 01010010 11010110 11111010 10010001 ones’-complement 00011001 01111000 01010010 11010101 11111001 10010000 2.12 (b) 10011110, overflow not occurs (c) 10001110, overflow occurs (d) 10000000, overflow occurs 2.16 1264843010=COFFEE16 2.18 ( a) r>6; (b)r=8; (c) r>3; (d) r=5; (e) r=4; (f)r=6;

数电第4章习题解答张克农版 2

97 4章课后习题解答 4.1 根据图题4.1中输入信号R 、S 的波形,画出图4.2.1中的基本RS 锁存器的状态变化波形。 [解]见图解4.1中Q 、Q 的波形。 4.2 根据图题4.2所给的时钟脉冲波形及输入信号R 、S 的波形,画出图4.2.6中时钟控制RS 锁存器输出Q 的波形。 [解]见图解4.2中Q 、Q 的波形。 4.3 主从JK 触发器电路结构如图题4.3.1(a)所示,设初态为0,已知CP 、J 、K 和R 的波形如图题4.3所示,试画出Q A 、Q B 的波形。 [解]见图解4.3中Q A 、Q B 的波形。 4.4 图题4.4中各触发器的初始状态Q =0,试画出在触发脉冲CP 作用下各触发器Q 端的电压波形。 [解]见图解4.4中Q 1~Q 8的波形。 图题、解4.1 图题、解 4.2 图题、解4.3

98 4.5画出图题4.5中Q 的波形(忽略触发器的传输延迟时间)。 [解]见图解4.5中Q 的波形。 4.6分别画出图题4.6 (a)、(b)中Q 的波形(忽略触发器的传输延迟时间,假定触发器的 初始状态为0)。 【解】见图解4.6中Q 的波形。 图题、解 4.4 图题、解4.5 图题 4.6

99 (a) (a) (b) 图解4.6 4.7 图题4.7所示为各种边沿触发器,已知CP 、A 和B 的波形,试画出对应的Q 的波形。(假定触发器的初始状态为0)。 【解】Q 1、Q 2、Q 3、Q 4的波形见图解4.7所示。 图题 4.7

100 图解4.7 4.8 试画出图题4.8中P 的波形(忽略触发器的传输延迟时间)。 【解】P 的波形见图解4.8所示。 图解4.8 4.9 试分析图题4.9所示引入转换电路(在虚线框内)后,整个触发器电路的逻辑功能。 图题 4.8

数字逻辑心得体会

数字逻辑心得体会 数字逻辑心得体会 数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了厚理博术、知行相成的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教

学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译

数字逻辑第四章课后答案..

习题4解答 4-1 试用与非门设计实现函数F(A,B,C,D)=Σm(0,2,5,8,11,13,15)的组合逻辑电路。 解:首先用卡诺图对函数进行化简,然后变换成与非-与非表达式。 化简后的函数 4-2 试用逻辑门设计三变量的奇数判别电路。若输入变量中1的个数为奇数时,输出为1,否则输出为0。 解:本题的函数不能化简,但可以变换成异或表达式,使电路实现最简。 真值表:逻辑函数表达式: C B A C B A C B A C B A Y? ? + ? ? + ? ? + ? ? = C B A⊕ ⊕ =) ( ACD D C B D B A D C B ACD D C B D B A D C B ACD D C B D B A D C B F ? ? ? ? ? ? ? = + + ? ? + ? ? = + + ? ? + ? ? = 逻辑图 B A C D F

4-3 用与非门设计四变量多数表决电路。当输入变量A 、B 、C 、D 有三个或三个以上为1时输出为1,输入为其他状态时输出为0。 解: 真值表: 先用卡诺图化简,然后变换成与非-与非表达式: 逻辑函数表达式: 4-4 用门电路设计一个代码转换电路,输入为4位二进制代码,输出为 4位循环码。 解:首先根据所给问题列出真值表,然后用卡诺图化简逻辑函数,按照化简后的逻辑函数画逻辑图。 ACD BCD ABC ABD ACD BCD ABC ABD ACD BCD ABC ABD Y ???=+++=+++=逻辑图

真值表: 卡诺图化简: 化简后的逻辑函数: Y 1的卡诺图 Y 2的卡诺图 Y 3的卡诺图 Y 4的卡诺图 A Y =1B A B A B A Y ⊕=+=2C B C B C B Y ⊕=+=3D C D C D C Y ⊕=+=4Y Y 逻辑图

数字逻辑课后习题答案

习题五 5.1 分析图5.35所示的脉冲异步时序电路。 解:各触发器的激励方程和时钟方程为: 1K J 11==;1K ,Q J 232==;1K ,Q Q J 3323== CP CP 1=;132Q CP CP == ∴各触发器的状态方程为: 11n 1Q Q =+ (CP 的下降沿触发); 321n 2 Q Q Q =+ (Q 1的下降沿触发); 3 21 n 3Q Q Q =+ (Q 1的下降沿触发) 该电路是一能自启动的六进制计数器。 5.2 已知某脉冲异步时序电路的状态表如表5.29所示,试用D 触发器 和适当的逻辑门实现该状态表描述的逻辑功能。 解:表5.29所示为最小化状态表。根据状态分配原则,无“列”相邻 (行相邻在脉冲异步时序电路中不适用。),在“输出” 相邻中,应 给AD 、AC 分配相邻代码。取A 为逻辑0,如下卡诺图所示,状态赋 值为:A=00,B=11;C=01;D=10。于是,二进制状态表如下,根据 D 触发器的激励表可画出CP 2、D 2、CP 1、D 1、Z 的卡诺图,得到激励函数和输出函数,以及画出所设计的脉冲异步时序电路。

得激励方程和输出方程: 22x CP =; 32212x x Q x D ++=; 3221x x Q CP +=; 31211x Q x Q D +=; )Q Q (x Q x Q x Z 2 132313+=+=。 5.3 设计一个脉冲异步时序电路,该电路有三个输入端x 1、x 2和x 3,一个输出端Z 。仅当输入序列x 1-x 2-x 3出现时,输出Z 产输出脉冲,并且与输入序列的最后一个脉冲重叠。试作出该电路的原始状态图和状态表。 解:

2013数字逻辑期末试卷试题及答案

一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 4. 从结构上看,时序逻辑电路的基本单元是 。 5. JK 触发器特征方程为 。 二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 +U CC +U CC -U BB R R K R C R B A B C F

5. 在下列逻辑部件中,属于组合逻辑电路的是()。 A) 计数器B) 数据选择器C) 寄存器D) 触发器 6. 已知某触发器的时钟CP,异步置0端为R D,异步置1端为S D,控制输入端V i和输出Q的波形如图2所示,根据波形可判断这个触发器是()。 图2 A) 上升沿D触发器B) 下降沿D触发器 C) 下降沿T触发器D) 上升沿T触发器 7. 寄存器要存放n位二进制数码时,需要( )个触发器。 A) n B) n log C) n2D) n/2 2 8. 下面哪种不是施密特触发器的应用:( ) A) 稳定频率脉冲输出B) 波形变换C) 脉冲整形D) 脉冲鉴幅 9. 下列哪个不能用555电路构成:( ) A)施密特触发器B)单稳态触发器C)多谐振荡器D)晶体振荡器 三、简答题(15分) 1.用公式法化简逻辑函数:Y=A'BC +(A+B')C (7分)

数字逻辑题目及答案

1.表示任意两位无符号十进制数至少需要()二进制数。 A.6 B.7 C.8 D.9 2.余3码对应的2421码为()。 A. B. C. D. 3.下列四个数中与十进制数(72)10相等的是( ) A.()2 B.()2 C.()2 D.()2 4.某集成电路芯片,查手册知其最大输出低电平UOLmax=0.5V,最大输入低电平UILmax=0.8V,最小输出高电平UOHmin=2.7V,最小输入高电平UIHmin=2.0V,则其高电平噪声容限UNH=( ) A.0.3V B.0.6V C.0.7V D.1.2V 5.标准或-与式是由()构成的逻辑表达式。 A.与项相或 B. 最小项相或 C. 最大项相与 D.或项相与 6、对于TTL或非门多余输入端的处理,不可以()。 A、接电源 B、通过0.5kΩ电阻接地 C、接地 D、与有用输入端并联 7.下列四种类型的逻辑门中,可以用()实现三种基本逻辑运算。 A. 与门 B. 或门 C. 非门 D. 与非门 8.以下电路中可以实现线与功能的有()。 A.与非门 B.三态输出门 C.传输门 D.漏极开路门 9.要使JK触发器在时钟作用下的次态与现态相反,JK端取值应为()。

A.JK=00 B. JK=01 C. JK=10 D. JK=11 10.设计一个四位二进制码的奇偶校验器,需要()个异或门。A.2 B. 3 C. 4 D. 5 11.相邻两组编码只有一位不同的编码是( ) A.2421BCD码 B.8421BCD码 C.余3码 D.循环码 12.下列电路中,不属于时序逻辑电路的是( ) A.计数器 B.全加器 C.寄存器 D.RAM 13.一个4位移位寄存器,现态为0111,经右移1位后其次态为( ) A.0011或1011 B.1101或1110 C.1011或1110 D.0011或1111 14.为了将正弦信号转换成与之频率相同的脉冲信号,可采用( ) A.多谐振荡器 B.移位寄存器 C.单稳态触发器 D.施密特触发器 15.一个6位地址码、8位输出的ROM,其存储矩阵的容量为( )bit. A.64×8 B.48 C.256 D.8 16.某8位DAC,当输入全为1时,输出电压为5.10V,当输入D=()2时,输出电压为( ) A.5.10V B.2.56V C.1.28V D.都不是 17.PROM是一种__________可编程逻辑器件。( ) A.与阵列可编程、或阵列固定的

相关文档
最新文档