单路数据采集系统课程设计报告

单路数据采集系统课程设计报告
单路数据采集系统课程设计报告

单路数据采集系统课程设计报告

《单路数据采集系统》

课程设计报告

业:

电子科学与技术

级:

物理系0902

名:

惺惺惜惺惺xx

指导教师:

xxxxx

二0一二年十月十八日

1、设计任务.2

2、设计思路.2

3、设计目的.2

4、设计元器清单.3

5、电路所用芯片的介绍.3

6、绘制的原理图和PCB图.8

7、电路板的制作.9

8、实验调试.9

9、调试好的成品图12

10、心得体会12

11、参考文献13

1、设计任务

用C51写出程序,采用AT89S52单片机对p2.0输入的模拟信号进行采样,通过外部信号CNVSTR启动A/D转换,将采样数据通过UARTO发送出去,通过PC机终端观察结果。

注意:1、A/D转换器的模拟输入电压采用电位器产生。

2、通过串口调试软观察A/D转换结果。

二、设计思路

由于51单片机和

A/D转换器组成的电路使用方便,51单片机种类多,价格便宜,我们对51系列单片机比较了解,适用范围广,更加适合数据采集与处理系统的应用,而且实物图连接电路简单,故本设计采用A/D转换器与AT89S52单片机组成数据采集系统。

(1)电路设计:在电路设计上以单片机为控制核心,用单片机I/O口直接定义ADC0808的模拟通道选择信号ADDA、ADDB、ADDC为IN0通道、地址锁存允许(ALE)和转换启动信号(START)、

输出允许信号(OE)、查询转换结束状态信号(EOC)和产生时钟信号(CLK)。

打开Proteus的ISIS窗口,通过对象选择器按钮,从元库中选择如下元器:AT89S52、RES、ADC0809、7805、max232、

74LS00、74LS32等元器。放置元器、电源和地,连线得到该设计的原理图。

(2)编程思路:利用伪指令定义单片机与ADC0809的控制与数据传输线,首先把

ALE和

START连接在一起,用P2.1控制地址锁存允许和转换启动信号,然后利用P2.0产生时钟信号,之后通过查询转换结束后就允许输出,最后通过max232借助电脑直观的看输出结果。

三、设计目的

这次设计对于我们来说是一次能力的提升,知识的升华。

一方面,让我们巩固已经学过的知识,并且利用这些知识进行设计。还有让我们对于C51单片机、ADC0809模数转换器等芯片有更进一步的了解。

另一方面,要提高我们的动手能力,由于此次电路图教复杂,因此要求有很好的动手能力,并且可以更好的掌握焊接电路板的流程以及小细节。

最后,对于C51的编程,让我们也有更进一步的熟悉和掌握。

四、设计元器清单电阻:

阻值

瓦数

误差

5K

×1

0.125W

5%

10K×1

0.125W

5%

330×2

0.125W

5%

510×2

0.125W

5%

2K

×1

0.125W

5%

滑动变阻器:1K

×1

电容:10u×11、104×7、30P×2

集成芯片:ADC0809×1、7805×1、max232×1、74LS00×1、74LS32×1、其他:导线、面包板、晶振一个、一个四脚的开关、三个发光二级管、5、电路所用芯片的介绍

5.1芯片ADC0809的介绍

ADC0809八位逐次逼近式A/D转换器是一种单片CMOS器,包括8位模拟转换器、8通道转换开关和与微处理器兼容的控制逻辑。8路转换开关能直接联通8个单端模拟信号中的任意一个。

(1)ADC0809的引脚图:

图5-1-1ADC0809管脚图

(2)ADC0809模数转换器的引脚功能:

IN0~IN7:8路模拟量输入。

A、B、C:3位地址输入,2个地址输入端的不同组合选择八路模拟量输入。

ALE:地址锁存启动信号,在ALE的上升沿,将A、B、C上的通道地址锁存到内部的地址锁存器。

D0~D7:八位数据输出线,A/D转换结果由这8根线传送给单片机。

OE:允许输出信号。当OE=1时,即为高电平,允许输出锁存器输出数据。

START:启动信号输入端,START为正脉冲,其上升沿清除ADC0809的内部的各寄存器,其下降沿启动A/D开始转换。

EOC:转换完成信号,当EOC上升为高电平时,表明内部A/D 转换已完成。

CLK:时钟输入信号,0809的时钟频率范围在10~1200kHz,典型值为640kHz。

(3)ADC0809的接线图

此电路图主要接线将八路输入模拟信号转换为数字信号,为数据处理及监控模块提供输入信号。

ADC0809与51单片机的接口方法:

ADC0809与51单片机的接口有3种形式,分别是查询方式、中断方式和延时等待方式,本题中选用中断接口方式。

由于ADC0809无片内时钟,时钟信号时可由单片机的ALE信号经D触发器二分频后获得。ALE引脚得脉冲频率是8051时钟频率的1/6.该

题目中单片机时钟频率采用6MHz,则ALE输出的频率是

1MHz,二分频后为500Hz,符合ADC0809对频率的要求。

由于ADC0809内部没有地址锁存器,所以通道地址有P0口的低3位直接与ADS0809的A,B,C相连。通道基本地址为

0000H~0007H。

控制信号:将P2.7作为片选信号,在启动A/D转换时。由单片机的写信号和P2.7控制ADC的地址锁存和启动转换。由于ALE

和START连在一起,因此ADC0809在锁存通道地址的同时也启动转换。

在读取转换结果时,用单片机的读信号和P2.7引脚经或非门后,产生正脉冲作为OE信号用一打开三态输出锁存器。

5.2芯片AT89S52的介绍

(1)实物图

(2)

芯片引脚图以及各引脚的功能

AT89S52有40个引脚,32个外部双向输入/输出(I/O)端口,同时内含2个外中断口,3个16位可编程定时计数器,2个全双工串行通信口,2个读写口线,AT89C52可按照常规方法进行编程,亦可在线编程

P0口——8位漏极开路之双向I/O口。作为输出口,每位能驱动8个TTL逻辑电平。对P0端口写“1”时,引脚用作高阻抗输入。访问外部程序和数据存储器时,P0口亦被作为低8位地址/数据复用。

P1口——有内部上拉电阻的8位双向I/O

口,p1

输出缓冲器能驱动4

TTL

逻辑电平。对P1

端口写“1”时,内部上拉电阻把端口拉高,此时可作输入口用。此外各引脚还有第二功能。详见下表一:

引脚号

第二功能

P1.0

T2(定时器/计数器T2的外部计数输入),时钟输出

P1.1

T2EX(定时器/计数器T2的捕捉/重载触发信号和方向控制)P1.5

MOSI(在系统编程用)

P1.6

MISO(在系统编程用)

P1.7

SCK(在系统编程用)

P2口——有内部上拉电阻的8

位双向I/O口,P2输出缓冲器能驱动4个TTL

逻辑电平。对P2

端口写“1”时,内部上拉电阻把端口拉高,此时可作输入口。

P3口——有内部上拉电阻的8位双向I/O口,p3输出缓冲器能驱动4个TTL

逻辑电平。对P3端口写“1”时,内部上拉电阻把端口拉高,此时可用作输入口。

各个引脚第二功能见下表二:

端口引脚

第二功能

P3.0

RXD(串行输入口)

P3.1

TXD(串行输出口)

P3.2

INTO(外中断0)

P3.3

INT1(外中断1)

P3.4

TO(定时/计数器0)

P3.5

T1(定时/计数器1)

P3.6

WR(外部数据存储器写选通)

P3.7

RD(外部数据存储器读选通)

RST——复位输入。

ALE/PROG——访问外部程序存储器或数据存储器时,ALE(地址锁存允许)输出脉冲用于锁存地址的低8位字节。

PSEN——程序储存允许(PSEN)输出是外部程序存储器之读选通信号。

EA/VPP——外部访问允许,要CPU仅访问外部程序存储器(地址为0000H-FFFFH),EA端须保持低电平(接地)。

XTAL1——振荡器反相放大器及内部时钟发生电路之输入端。

XTAL2——振荡器反相放大器之输出端。

5.3芯片max232的介绍

(1)芯片引脚图及各引脚的介绍

第一部分是电荷泵电路。由1、2、3、4、5、6脚和4只电容构成。功能

是产生+12v和-12v两个电源,提供给RS-232串口电平的需要。

第二部分是数据转换通道。由7、8、9、10、11、12、13、14脚构成两

个数据通道。

其中13脚(R1IN)、12脚(R1OUT)、11脚(T1IN)、14脚(T1OUT)

为第一数据通道。

8脚(R2IN)、9脚(R2OUT)、10脚(T2IN)、7脚

(T2OUT)为第二数

据通道。

TTL/CMOS数据从T1IN、T2IN输入转换成RS-232数据从

T1OUT、T2OUT送

到电脑DB9插头;DB9插头的RS-232数据从R1IN、R2IN输入转换成TTL/CMO

S数据后从R1OUT、R2OUT输出。

第三部分是供电。15脚GND、16脚VCC(+5v)。

5.4芯片74LS32的介绍

引脚排列图管脚功能:左下1--1A,2--1B,

3--1Y;4--2A,5--2B,6--2Y;7--GND;

右起:右上8--3Y,9--3A,10--3B;11--4Y,12--4A,

13--4B;14--VCC

其中A,B为输入端,Y为输出端,GND为电源负极,VCC为电源正极。

6、绘制的原理图和PCB图

原理图

PCB图

7、电路板的制作

在PCB图画好之后,接着就是电路板的制作和元器的焊接了。这一部分,虽说没有设计那么困难,然而却是最容易出错的地方,得需要操作人很强的动手能力。尤其是在焊接中,一定要小心虚焊、漏焊等小细节。还有,芯片不要插在插槽上进行焊

接,防止芯片烧坏,在插二极管和极性电容的时候应该注意其极性,在焊接电路板时,有的焊点很近,焊接时要特别小心,如果不注意很容易导致线路短路的。

切记:防止烫伤自己和他人!

8、实验调试

利用下面写好的程序,通过串口输出和计算机调试。

#include

#include

#define

uchar

unsigned

char

sbit

ST=P3^6;

sbit

EOC=P3^2;

sbit

OE=P3^7;

sbit

CLK=P3^3;

sbit

RR=P1^0;

void

delay(uchar

i)

{

uchar

j;

while(i--)

{

for(j=125;j>0;j--); }

}

void

init_t0()

{

TMOD=0x1;

//T0,工作方式1

TH0=0xFF;

//20ms定时

TL0=0xFE;

TR0=1;

//开启T0定时器

ET0=1;

//允许T0定时器中断EA=1;

RR=0;

/*

EA

=

1;

TMOD

=

0x02;

TH0=216;

TL0=216;

TR0=1;

ET0=1;

ST=1;

OE=1;

RR=0;/

}

void

cl(void)

interrupt

1

using

{

TH0=0xFF;

//20ms定时

TL0=0xFE;

CLK=~CLK;

}

void

AD()

{

//TR0=1;

//RR=0;

OE=0;

ST=1;

delay(1);

ST=0;

delay(1);

ST=1;

//

while(EOC==1); while(EOC!=0){;}

//TR0=0;

OE=0;

SBUF=P0;

delay(1);

SBUF=0XAA;

delay(10);

OE=1;

TR0=1;

}

void

int_INT()

{

SCON=0x50;//SCON:serailmode1,8-bitUART,enableucvr TMOD|=0x20;//TMOD:timer1,mode2,8-bitreload

PCON|=0x00;//SMOD=0;

TH1=0xFd;//Baud:4800fosc=11.0592MHz

TL1=0xfd;

IE|=0x90;//EnableSerialInterrupt

TR1=1;//timer1run

}

void

int()

interrupt 4

{

if(TI==1) {

TI=0;

}

if(RI==1) {

RI=0;

}

}

void

main() {

init_t0(); int_INT(); while(1) {

AD();

}

}

TI=0;

}

if(RI==1)

{

RI=0;

}

}

void

main()

{

init();

while(1)

{

AD();

}

}

9、调试好的成品图

10、心得体会

持续六周的课程设计转眼就要结束了,在此期间我也失落过,也曾一度热情高涨。从开始时满富盛激情到最后汗水背后的复杂心情,点点滴滴无不令我回味无长。其实生活就是这样,汗水预示着结果也见证着收获。劳动是人类生存生活永恒不变的话

题。通过此次实践,我才真正领略到“艰苦奋斗”这一词的真正含义。

看着这个充满心酸的成品设计,在这里,我想说说自己的一些亲身感受。设计的确是能力和知识的结合体,而我也感觉到自己在知识掌握的不扎实也不全面,需要在以后的日子里在这方面加一把力。同时,焊接虽说是个技术活,但确需要很好耐心以及细心,在这次焊接中,我就犯了一个很大的错误,差点导致此次努力付诸东流。

最后,我想说我们的工作是一个团队的工作,团队需要个人,个人也离不开团队,必须发扬团结协作的精神。某个人的离群都可能导致导致整项工作的失败。设计中只有一个人知道原理是远远不够的,必须让每个人都知道,否则一个人的错误,就有可能导致整个工作失败。团结协作是我们实践成功的一项非常重要的保证。然而在这次设计中,虽说我们这组很团结,但我发现有些组的成员就是为了应付,而这种思想在这样的一个设计中是要不得的。

对我而言,知识上的收获重要,精神上的丰收更加可喜。挫折是一份财富,经历是一份拥有。这次实践必将成为我人生旅途上一个非常美好的回忆!同时也会给我下学期的毕业设计带来很大的帮助。

一、参考文献

[1]

贾立新,王勇,《电子系统设计与实践》,浙江,清华大学出版社,2021年

[2]

唐颖,《单片机原理与应用》,北京大学出版社。2021年

14

多路数据采集

目录 一、任务与要求 (2) 二、总体设计 (2) 1、电路原理框图 (2) 2、整体工作原理 (3) 三、各部分电路原理图 (4) 1、模拟开关部分 (4) 2、D/A转换部分 (4) 3、三态门驱动部分 (5) 3、RAM部分 (5) 4、十六位数码显示 (6) 5、A/D转换部分 (6) 6、逻辑控制与时钟电路 (7) 四、仿真结果 (7) 1、进行一路数据的采集 (7) 2、进行两路信号的采集 (8) 五:转换精度的分析 (9) 六、该电路实现的功能 (10)

多路数据采集系统的设计报告 一、任务与要求 数字电路所能处理的信号为数字信号,而生产实践中的许多信号属于模拟信号,因而,模/数变换和数/模变换就成为电子技术应用中的基本环节。本实验用数/模、模/数转换器为主设计制作一个数据采集系统。 (1) 用ADC0809或其它ADC 芯片实现对两路以上的模拟信号的采集,模拟信号 以常用物理量温度为对象,可以经传感器、输入变换电路得到与现场温度成线性关系的0~5V 电压,也可以直接用0~5V 的电压模拟现场温度。采集的数据一方面送入存储器保存(如RAM6264),同时用数码管跟踪显示。 (2) 从存储器中读出数据,经D/A 芯片0832或其它DAC 芯片作D/A 变换,观察 所得模拟量与输入量的对应情况 (3) 分析转换误差,研究提高转换精度的措施。 二、总体设计 1、电路原理框图 数据采集系统框图如图8-6-1。

图1数据采集系统框图 说明: (1)、在multisim中使用两个函数发生器产生一个Vpp为5v的正弦波和Vpp 为5V的三角波作为传感信号。 (2)、数字量显示使用的是十六进制。 (3)、在此电路中用模拟开关控制采集哪路信号。 2、整体工作原理 图1数据采集系统电路图 当电路上电开始工作时,J2处于低电位,RS触发器处于置一状态,将开关J2开到高电位时,此时RS为保持状态,控制三态门工作,并使RAM置于写状态,控制A/D不工作。D/A转换器每进行完一次转换都会使EOC’输出一高电平,当下一次转换开始时EOC’又开始变为高电平,利用EOC’给计数器提供冲击脉冲使其计数,并计数器的计数功能来控制RAM的内存单位自动加一,从而使000H--1FFH

多路数据采集器设计报告

多路数据采集器设计 1.设计要求 所设计的数据采集器,共有16路信号输入,每路信号都是直流0~20mV信号,每秒钟采集一遍,将其数据传给上位PC计算机。本采集器地址为50H。要求多路模拟开关用4067,A/D转换用ADC0809,运算放大器用OP07,单片机用89C51,通信用RS232接口,通信芯片用MAX232。 与PC机的RS232串口进行通信。 设计采集器的电原理图,用C51语言编制采集器的工作程序。 2.方案设计 按要求,设计数据采集器方案如下所示: 数据采集器采用AT89C51单片机作为微控制器,模拟开关4067的地址A、B、C、D分别与P1.0~P1.3连接,通过控制P1口输出来选择输入信号,将直流信号依次输入ADC0809的模拟信号输入端,ADC0809共有8路输入通道,在使用模拟开关时,仅将模拟开关的输出端连接到ADC0809的1路输入通道即可,本方案中使用0通道。ADC0809的转换结果通过P0口传给单片机,单片机将采集结果通过串行通信RS232接口上传给上位PC机,实现数据的采集。 数据采集器方案示意图

3.电路原理图 a)AT89C51单片机电路 本实验中选取8位单片机AT89C51作为微控制器,需要片外11.0592MHz的振荡器,4K字节EPROM,128字节RAM,与51单片机有很好的兼容性。在本此实验中程序及数据不多,故无需另加外部程序存储器。单片机部分的电路如下所示: AT89C51单片机电路 b)数据输入部分

数据输入部分由模拟开关4067实现多路信号的切换。CD4067是单16路(单刀16位)模拟开关,各开关由外部输入二进制的地址码A、B、C、D来切换。其中脚10、11、14和13是地址码A(LSB)、B、C、D(MSB)的输入端;脚2~9和16~23是开关的输入/输出端(开关位);脚1是开关的输出/输入公共端(开关刀);脚15为控制端,低电平有效(选通),高电平禁止(开关开路)。 输入脚A、B、C、D分别与单片机P1.0~P1.3相连,改变P1输出即可切换输入通道,控制脚与P2.4相连。输出脚1后接电压放大电路。 c)电压调理放大电路 电压调理电路 由于输入信号均为0~20mV的微弱电压信号,而模数转换器ADC0809的输入量要求为0~5V 直流电压,所以必须后接电压放大电路。放大器选用OP07,将0~20mV电压放大到0~5V,其放大倍数为250倍,一般情况下,放大器的放大倍数最好小于200倍,安全起见,选用两个OP07进行两级放大,前级放大25倍,后级放大10倍,放大电路如上图所示。 d)模数转换部分 ADC0809数模转换电路 模数转换元件选用ADC0809,其主要特性有: 8路8位A/D转换器,即分辨率8位;

基于单片机的温度数据采集系统实验报告

基于单片机的温度数据采集系统实验报告 班级:电技10—1班 姓名:田波平 学号:1012020108 指导老师:仲老师

题目:基于单片机的温度数据采集系统 一.设计要求 1.被测量温度范围:0~120℃,温度分辨率为0.5℃。 2.被测温度点:2个,每5秒测量一次。 3.显示器要求:通道号2位,温度4位(精度到小数点后一位)。 显示方式为定点显示和轮流显示。 4.键盘要求: (1)定点显示设定;(2)轮流显示设定;(3)其他功能键。 二.设计内容 1.单片机及电源模块设计 单片机可选用AT89S51及其兼容系列,电源模块可以选用7805等稳压组件,本机输入电压范围9-12v。 2.存储器设计 扩展串行I2C存储器AT24C02。 要求: AT24C02的SCK接P3.2 AT24C02的SDA接P3.4 2.传感器及信号转换电路 温度传感器可以选用PTC热敏电阻,信号转换电路将PTC输出阻值转换为0-5V。 3.A/D转换器设计 A/D选用ADC0832。 要求: ADC0832的CS端接P3.5 ADC0832的DI端接P3.6 ADC0832的DO端接P3.7 ADC0832的CLK端接P2.1 4.显示器设计。 6位共阳极LED显示器,段选(a-h)由P0口控制,位选由P2.2-P2.7控制。数码管由2N5401驱动。 5.键盘电路设计。 6个按键,P2.2-P2.7接6个按键,P3.4接公共端,采用动态扫描方式检测键盘。 6.系统软件设计。 系统初始化模块,键盘扫描模块,数据采集模块,标度变换模块、显示模块等。 三.设计报告要求 设计报告应按以下格式书写: (1)封面; (2)设计任务书; (3)目录; (4)正文;

多路数据采集与控制系统

1 引言 数据采集是指将温度、压力、流量、位移等模拟量采集、转换成数字量后,再由计算机进行存储、处理、显示的过程。在生产过程中,可对生产现场的工艺参数进行采集、监视和记录,为提高产品的质量、降低成本提供信息和手段。本文设计了一套多路数据采集系统,实施采集多现场的温度参数,系统通过RS485总线将采集到的现场温度数据传输至上位机,上位机对采集到的数据进行显示、存储,从而达到现场监测与控制的目的。 2 设计目的和要求 设计一由微机控制的A/D数据采集和控制系统,该卡具有对八个通道上 0-5V的模拟电压进行采集的能力,且可以用程序选择装换通道,选择ADC0809 作为A/D转换芯片。 本设计包括确定控制任务、系统总体设计、硬件系统设计、软件程序的设计等,使学生进一步学习理解计算机控制系统的构成原理、接口电路与应用程序,巩固与综合专业基础知识和相关专业课程知识,提高学生运用理论知识解决实际问题的实践技能。 3 系统设计方案 1.八路模拟信号的产生 被测电压要求为0~5V的直流电压,可通过八个滑动变阻器调节产生。 2.模拟信号的采集 八路数据采集系统采用共享数据采集通道的结构形式,数据采集方式确定为程序控制数据采集。 3.A/D转换器的选取 八位逐次比较式A/D转换器 4.控制与显示方法的选择 用单片机作为控制系统的核心,处理来自ADC0809的数据。经处理后通过串口传送,由于系统功能简单,完成采样通道的选择,单片机通过接口芯片与LED

数码显示器相连,驱动显示器相应同采集到的数据。 图3.1 总体设计图 4 硬件系统的设计 4.1芯片ADC0809的引脚功能和主要性能 ADC0809八位逐次逼近式A/D 转换器是一种单片CMOS 器件,包括8位模拟转换器、8通道转换开关和与微处理器兼容的控制逻辑。8路转换开关能直接联通8个单端模拟信号中的任意一个。 ADC0809的引脚图及51单片机引脚图: 图4.1 ADC0809管脚图及51单片机芯片管脚图 模拟输入通道1 ADC0808 单片机 LED 模拟输入通道2 模拟输入通道8

多路数据采集系统设计毕业论文

多路数据采集系统设计毕业论文 第1章绪论 1.1 多路数据采集系统介绍 随着工、农业的发展,多路数据采集势必将得到越来越多的应用,为适应这一趋势,作这方面的研究就显得十分重要。在科学研究中,运用数据采集系统可获得大量的动态信息,也是获取科学数据和生成知识的重要手段之一。总之,不论在哪个应用领域中,数据采集与处理将直接影响工作效率和所取得的经济效益。 此外,计算机的发展对通信起了巨大的推动作用。算机和通信紧密结合构成了灵活多样的通信控制系统,也可以构成强有力的信息处理系统,这样对社会的发展产生了深远的影响。数据通信是计算机广泛应用的必然产物[2]。 数据采集系统,从严格的意义上来说,应该是用计算机控制的多路数据自动检测或巡回检测,并且能够对数据实行存储、处理、分析计算以及从检测的数据中提取可用的信息,供显示、记录、打印或描绘的系统。 数据采集系统一般由数据输入通道,数据存储与管理,数据处理,数据输出及显示这五个部分组成。输入通道要实现对被测对象的检测,采样和信号转换等

工作。数据存储与管理要用存储器把采集到的数据存储起来,建立相应的数据库,并进行管理和调用。数据处理就是从采集到的原始数据中,删除有关干扰噪声,无关信息和必要的信息,提取出反映被测对象特征的重要信息。另外,就是对数据进行统计分析,以便于检索;或者把数据恢复成原来物理量的形式,以可输出的形态在输出设备上输出,例如打印,显示,绘图等。数据输出及显示就是把数据以适当的形式进行输出和显示。 由于RS-232在微机通信接口中广泛采用,技术已相当成熟。在近端与远端通信过程中,采用串行RS-232标准,实现PC机与单片机间的数据传输。在本毕业设计中对多路数据采集系统作了初步的研究。本系统主要解决的是怎样进行数据采集以及怎样进行多路的数据采集,并将数据上传至计算机[2]。 1.2 设计思路 多路数据采集系统采用ADC0809模数转换器作为数据采集单元和AT89C51单片机来对它们进行控制,不仅具有控制方便、简单和灵活性大等优点,而且可以大幅度提高采集数据的灵敏度及指标。通过MAX232电平转换芯片实现单片机与PC 机的异步串行通信,设计中的HD7279实现了键盘控制与LED显示显示功能。本文设计了一种以AT89C51和ADC0809及RS232为核心的多路数据采集系统。 多路数据采集系统就是通过键盘控制选择通路,将采集到的电压模拟两转换成数字量实时的送到单片机里处理从而显示出采集电压和地址值,最终控制执行单片机与PC机的异步串行通信。 连接好硬件后,给ADC0809的三条输入通路通入直流电压。4-F键为功能键,4-E键为复位键,F键为确认键。1-3键为通道选择键,分别采集三个通道的数据值并实时显示出数值和地址值。结合单片机RS232串口功能还实现了与PC机的异

数据采集系统实验报告

学院名称: 电气信息工程学院 专 业: 测控技术与仪器 班 级: 09测控1W 姓 名: 胡建兵 学 号: 09314111 指导教师姓名: 朱 雷 2012 年 11 月 JIANGSU TEACHERS UNIVERSITY OF TECHNOLOGY 数据采集系统实验报告

实验2——A/D采集模块设计 一.实验目的 学习用状态机实现对ADC0809,AD574A等A/D转换器的采样控制。 二.实验原理 图1和图2分别为ADC0809的引脚图,转换时序图和采样控制状态图。时序图中,START为转换启动控制信号,高电平有效;ALE为模拟信号输入选通端口地址锁存信号,上升沿有效;一旦START有效后,状态信号变EOC变为低电平,表示进入状态转换,转换时间约为100us。转换结束后,EOC将变为高电平。此外外部控制可使OE由低电平变为高电平(输出有效),此时,ADC0809的输出数据总线D【7...0】从原来的高阻态变为输出数据有效。由状态图也可以看到,状态st2中需要对ADC0809工作状态信号EOC进行测试,如果为低电平,表示转换没有结束,仍需要停留在st2状态中等待,直到变成高电平后才说明转换结束,在下一时钟脉冲到来时转向状态st3。在状态st3,由状态机向ADC0809发出转换好的8位数据输出允许命令,这一状态周期同时可作为数据输出稳定周期,以便能在下一状态中向锁存器锁入可靠的数据。在状态st4,由状态机向FPGA中的锁存器发出锁存信号(LOCK的上升沿),将ADC0809的输出数据进行锁存。 图2.1 ADC0809工作时序

图2.2 控制ADC0809采样状态图程序如图实例1所示,其结构框图如图3所示。 图2.3 采样状态机结构框图

基于ADC0809和51单片机的多路数据采集系统设计

基于ADC0809和51单片机的多路数据采集系统设计 “数据采集”是指将温度、压力、流量、位移等模拟物理量采集并转换成数字量后,再由计算机进行存储、处理、显示和打印的过程,相应的系统称为数据采集系统。本文的主要任务是对0~5V的直流电压进行测量并送到远端的PC机上进行显示。由于采集的是直流信号,对于缓慢变化的信号不必加采样保持电路,因此选用市面上比较常见的逐次逼近型ADC0809芯片,该芯片转换速度快,价格低廉,可以直接将直流电压转换为计算机可以处理的数字量。同时选用低功耗的LCD显示器件来满足其在终端显示采集结果的需求。终端键盘控制采用尽可能少的键来实现控制功能,为了防止键盘不用时的误操作,设计时还设置了锁键功能,在键盘的输入消抖方面,则采用软件消抖方法来降低硬件开销,提高系统的抗干扰能力。软件设计方面则采用功能模块化的设计思想;键盘模数转换等采用中断方式来实现,从而大大提高了单片机的效率以及实时处理能力。1 数据采集系统的硬件结构数据采集系统的硬件结构一般由信号调理电路、多路切换电路、采样保持电路、A/D转换器以及单片机等组成。本文主要完成功能的系统硬件框图。 2 ADC0809模数转换器简介2.1 ADC0809的结构功能本数据采集系统采用计算机作为处理器。电子计算机所处理和传输的都是不连续的数字信号,而实际中遇到的大都是连续变化的模拟量,模拟量经传感器转换成电信号后,需要模/数转换将其变成数字信号才可以输入到数字系统中进行处理和控制,因此,把模拟量转换成数字量输出的接口电路,即A/D转换器就是现实信号转换的桥梁。目前,世界上有多种类型的A/D转换器,如并行比较型、逐次逼近型、积分型等。本文采用逐次逼近型A/D转换器,该类A/D转换器转换精度高,速度快,价格适中,是目前种类最多,应用最广的A/D转换器。逐次逼近型A/D转换器一般由比较器、D/A转换器、寄存器、时钟发生器以及控制逻辑电路组成。 ADC0809就是一种CMOS单片逐次逼近式A/D转换器,其内部结构。该芯片由8路模拟开关、地址锁存与译码器、比较器、8位开关树型D/A转换器、逐次逼近寄存器、三态输出锁存器等电路组成。因此,ADC0809可处理8路模拟量输入,且有三态输出能力。该器件既可与各种微处理器相连,也可单独工作。其输入输出与TTL兼容。 ADC0809是8路8位A/D转换器(即分辨率8位),具有转换起停控制端,转换时间为100μs采用单+5V电源供电,模拟输入电压范围为0~+5V,且不需零点和满刻度校准,工作温度范围为-40~+85℃功耗可抵达约15mW。 ADC0809芯片有28条引脚,采用双列直插式封装,图3所示是其引脚排列图。各引脚的功能如下: IN0~IN7:8路模拟量输入端; D0~D7:8位数字量输出端; ADDA、ADDB、ADDC:3位地址输入线,用于选通8路模拟输入中的一路; ALE:地址锁存允许信号,输入,高电平有效; START:A/D转换启动信号,输入,高电平有效; EOC:A/D转换结束信号,输出,当A/D转换结束时,此端输出一个高电平(转换期间一直为低电平); OE:数据输出允许信号,输入,高电平有效。当A/D转换结束时,此端输入一个高电平才能打开输出三态门,输出为数字量; CLK:时钟脉冲输入端。要求时钟频率不高640kHz; REF(+)、REF(-):基准电压; Vcc:电源,单一+5V; GND:地。 ADC0809工作时,首先输入3位地址,并使ALE为1,以将地址存入地址锁存器中。此地址经译码可选通8路模拟输入之一到比较器。START上升沿将逐次逼近寄存器复位;下降沿则启动A/D转换,之后,EOC 输出信号变低,以指示转换正在进行,直到A/D转换完成,EOC变为高电平,指示A/D转换结束,并将结果数据存入锁存器,这个信号也可用作中断申请。当OE输入高电平时,ADC

温度采集实验报告

课程设计任务书 题目基于AD590的温度测控系统设计 系(部) 信息科学与电气工程学院 专业电气工程及其自动化 班级电气092 学生姓名刘玉兴 学号090819210 月日至月日共周 指导教师(签字) 系主任(签字) 年月日

摘要 温度是工业生产和自动控制中最常见的工艺参数之一。过去温度检测系统设计中,大多采用模拟技术进行设计,这样就不可避免地遇到诸如传感器外围电路复杂及抗干扰能力差等问题;而其中任何一环节处理不当,就会造成整个系统性能的下降。随着半导体技术的高速发展,特别是大规模集成电路设计技术的发展, 数字化、微型化、集成化成为了传感器发展的主要方向。 以单片机为核心的控制系统.利用汇编语言程序设计实现整个系统的控制过程。在软件方面,结合ADC0809并行8位A/D转换器的工作时序,给出80C51单片机与ADC0908并行A /D转换器件的接口电路图,提出基于器件工作时序进行汇编程序设计的基本技巧。本系统包括温度传感器,数据传输模块,温度显示模块和温度调节驱动电路,其中温度传感器为数字温度传感器AD590,包括了单总线数据输出电路部分。文中对每个部分功能、实现过程作了详细介绍。 关键词:单片机、汇编语言、ADC0809、温度传感器AD590

Abstract Temperature is the most common one of process parameters in automatic control and industrial production. In the traditional temperature measurement system design, often using simulation technology to design, and this will inevitably encounter error compensation, such as lead,complex outside circuit,poor anti-jamming and other issues, and part of a deal with them Improperly, could cause the entire system of the decline. With modern science and technology of semiconductor development, especially large-scale integrated circuit design technologies, digital, miniaturization, integration sensors are becoming an important direction of development. In the control systems with the core of SCM,assembly language programming is used to achieve the control of the whole system.Combining with the operation sequence of ADC0809,the interface circuit diagrams of 80C51 SCM and ADC0809 parallel A/D conveger ale given.The basic skills of assembly language programming based on the operation se—quenee of the chip ale put forward.This system include temperature sensor and data transmission, the moduledisplays

数据采集系统的历史与发展

数据采集系统的历史与发展 数据采集系统起始于20设计50年代,1956年美国首先研究了用在军事上的测试系统,目标是测试中不依靠相关的测试文件,由非熟练人员进行操作,并且测试任务是由测试设备高速自动控制完成的。由于该种数据采集测试系统具有高速性和一定的 灵活性可以满足众多传统方法不能完成的数据采集和测试任务,因而得到了初步的认可。大约在60年代后期,国外就有成套的数据采集设备产品进入市场,此阶段的数据采集设备和系统多属于专业的系统。 20世纪70年代中后期,随着微型的发展,诞生了采集器,仪表同计算机溶于一 体的数据采集系统。由于这种数据采集系统的性能优良,超过了传统的自是这一类的 典型代表。这种接口系统采用积木式结构,把相应的接口卡装在专用的机箱内,然后 由一台计算机控制。第二类系统在工业现场应用较多。这两种系统中,如果采集测试 任务改变,只需将新的仪用电缆接入系统,或将新卡在添加的专业的机箱里即可完成 硬件平台中建,如果采集测试任务改变,只需将新的仪用电缆接入系统,或将新卡再 添加到专用的机箱即可完成硬件平台重建,显然,这种系统比专用系统灵活得多。20 世纪80年代后期,数据采集系统发生了极大的变化,工业计算机,单片机和大规模集成电路的组合,用软件管理,使系统的成本降低,体积减小,功能成倍增加,数据处 理能力大大加强。 20世纪90年代至今,在国际上技术先进的国家,数据采集技术已经在军事,航 空电子设备及宇航技术,工业等领域被广泛应用。由于集成电路制造技术的不断提高,出现了高性能,高可靠性的单片数据采集系统(DAS)。目前有的DAS产品精度已达16位,采集速度每秒达到几十万次以上。数据采集技术已经成为一种专门的技术,在工业领域得到了广泛的应用。该阶段数据采集系统采用更先进的模块式结构,根据不 同的应用要求,通过简单的增加和更改模块,并结合系统编程,就可扩展或修改系统,迅速地组成一个新的系统。该阶段并行总线数据采集系统高速,模块化和即插即用方 向发展,典型系统有VXI总线系统,PCI,PXI总线系统等,数据位以达到32位总线宽度,采用频率可以达到100MSps。由于采用了高密度,屏蔽型,针孔式的连接器和卡 式模块,可以充分保证其隐定性急可靠性,但其昂贵的价格是阻碍它在自动化领域取 得了成功的应用。 串行总线数据采集系统向分布式系统结构和智能化方向发展,可靠性不断提高。 数据采集系统物理层通信,由于采用RS485双绞线,电力载波,无线和光纤,所以其技术得到了不断发展和完善。其在工业现场数据采集和控制等众多领域得到了广泛的 应用。由于目前局域网技术的发展,一个工厂管理层局域网,车间层的局域网和底层 的设备网已经可以有效地连接在一起,可以有效地把多台数据采集设备联在一起,以 实现生产环节的在线实时数据采集与监控。

嵌入式系统开发课程-多路数据采集系统设计

嵌入式系统开发课程-多路数据采集系统设计 -CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

多路数据采集系统设计

1题目要求 所设计的数据采集系统,共有16路信号输入,每路信号都是0~10mV,每秒钟采集一遍,将其数据传给上位PC计算机,本采集地址为50H。要求多路模拟开关用4067,A/D转换用ADC0809,运算放大器用OP07,单片机用89C51,芯片用 MAX232。 设计其电路原理图,用C51语言编制工作程序。 2总体方案设计 根据题目要求,传感器首先采集16路信号,然后被多路模拟开关4067选通某一路信号,接着通过信号调理电路,由A/D转换器进行模/数转换后发送给单片机,之后通过MAX232由RS232串口进行通讯,最终将数据传递到上位PC计算机。因此,数据采集系统主要包括以下几个主要环节: 2.1信号选通环节 由于题目要求采集的信号路数达到了16路,每一路信号的流通路线均相同。如果为每路信号都设置相应的放大、A/D转换单元,成本将大幅度提升。因此可以接入一个多路模拟开关4076,轮流选通每一路信号,实现多路信号共用一个运算放大器和A/D转换单元,即降低了成本,又简化了电路。 4067为16路模拟开关,其内部包括一个16选1的译码器和被译码输出所控制的16个双向模拟开关。当禁止端INH置0时,在I/N0-I/N15中被选中的某个输入端与输出公共端X接通,外部地址输入端A、B、C、D决定了被选通端;当INH置1时,所有模拟开关均处于断路状态。 2.2信号调理电路 为了方便信号的进一步传输和处理,一般均要在传感器的输出端接入信号调理电路,对传感器输出的信号进行变换、隔离、放大、滤波等处理。此处的信号波动范围只有0~10mV,属于微弱信号,需要进行放大处理。按照题目要求,本文设计的系统选用运算放大器OP07。OP07是一种高精的度单片运算放大器,其输入失调电压和漂移值均很低,适合用作前级放大器。 2.3A/D转换器 由于单片机只能处理数字信号,所以需要接入A/D转换器将模拟信号转换成数字信号。本文采用题目提供的ADC0809,它可以和单片机直接通讯。ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。三态输出锁器用于锁存A/D转换完的数字量,当OE端为高电平时,才可以从三态输出锁存器取走转换完的数据。 此处采用中断的方式使数据在单片机与ADC0809之间进行交换,端口地址为 FF50H;P0口和WR信号共同生成单片机的启动转换信号;为了在启动转换的同时选通通道,将通道地址锁存信号ALE与START相连;把P0口和RD同时处在有效位的组

数据采集与传输系统实验报告

(此文档为word格式,下载后您可任意编辑修改!) 数据采集与传输系统 摘要 该数据采集与传输系统以89C51及89C2051为核心,由数据采集模块、调制解调模块、模拟信道、测试码发生器、噪声模拟器、结果显示模块等构成。在本方案中仅使用通用元器件就较好的实现了题目要求的各项指标。其中调制解调模块、噪声模拟器分别采用单片机和可编程逻辑器件实现。本数据采集与传输系统既可对8路数据进行轮检,也可设置为对一路数据单独监控。本系统硬件设计应用了EDA 工具,软件设计采用了模块化的编程方法。传输码元速率为16kHz~48kHz的二进制数据流。另外,还使用了“1”:“01”、“0”:“10”的Manchester编码方法使数据流的数据位减少,从而提高传输速率。

一、方案设计与论证 首先,我们分析一下信道与信噪比情况。本题中码元传输速率为16k波特,而信号被限定在30k~50kHz的范围内,属于典型的窄带高速率数字通信。而信噪比情况相对较好。这是因为信号带宽仅为20kHz,而噪声近似为0~43kHz()的窄带白噪声,这样即使在信号和噪声幅度比值为1:1的情况下,带内的噪声功率仍然比较小,所以系统具有较高的信噪比。 方案一: 常用的数字调制系统有:ASK、FSK、PSK等。其中FSK具有较强的抗干扰能力,但其要求的的带宽最宽,频带利用率最低,所以首先排除。ASK理论上虽然可行,但在本题目中,由于一个码元内只包括约两个周期的载波,所以采用包络检波法难以解调,也不可行。另外,对于本题目,还可以考虑采用基带编码的方法进行传输,如HDB3码,但这种编码方法其抗干扰能力较差,因此也不太适合。 方案二: PSK调制方式具有较强的抗干扰能力,同时其调制带宽相对也比较窄,因此我们考虑采用这种调制方式。为了简化系统,在实际实现时,我们采用了方波作为载波的PSK调制方式。当要求的数据传输速率较低(≤24kbps)时,对原始数据处理的方法如下:

51单片机数据采集系统[1]

课程设计报告书 设计任务书 一、设计任务 1一秒钟采集一次。 2把INO口采集的电压值放入30H单元中。 3做出原理图。 4画出流程图并写出所要运行的程序。 二、设计方案及工作原理 方案: 1. 采用8051和ADC0809构成一个8通道数据采集系统。 2. 能够顺序采集各个通道的信号。

3. 采集信号的动态范围:0~5V。 4. 每个通道的采样速率:100 SPS。 5.在面包板上完成电路,将采样数据送入单片机20h~27h存储单元。 6.编写相应的单片机采集程序,到达规定的性能。 工作原理: 通过一个A/D转换器循环采样模拟电压,每隔一定时间去采样一次,一次按顺序采样信号。A/D转换器芯片AD0809将采样到的模拟信号转换为数字信号,转换完成后,CPU读取数据转换结果,并将结果送入外设即CRT/LED显示,显示电压路数和数据值。 目录 第一章系统设计要求和解决方案 第二章硬件系统 第三章软件系统 第四章实现的功能 第五章缺点及可能的解决方法 第六章心得体会

附录一参考文献 附录二硬件原理图 附录三程序流程图 第一章系统设计要求和解决方案 根据系统基本要求,将本系统划分为如下几个部分: 信号调理电路 8路模拟信号的产生与A/D转换器 发送端的数据采集与传输控制器 人机通道的接口电路 数据传输接口电路 数据采集与传输系统一般由信号调理电路,多路开关,采样保持电路,A/D,单片机,电平转换接口,接收端(单片机、PC或其它设备)组成。系统框图如图1-1所示

信号采集分析 被测电压为0~5V 直流电压,可通过电位器调节产生。 信号采集 多路数据采集系统多采用共享数据采集通道的结构形式。 数据采集方式选择程序控制数据采集。 程序控制数据采集,由硬件和软件两部分组成。,据不同的采集需要,在程序存储器中,存放若干种信号采集程序,选择相应的采集程序进行采集工作,还可通过编新的程序,以满足不同采样任务的要求。如图1-3所示。 程序控制数据采集的采样通道地址可随意选择,控制多路传输门开启的通道地址码由存储器中读出的指令确定。即改变存储器中的指令内容便可改变通道地址。 由于顺序控制数据采集方式 缺乏通用性和灵活性,所以本设计中选用程序控制数据采集方式。 采集多路模拟信号时,一般用多路模拟开关巡回检测的方式,即一种数据采集的方式。利用多路开关(MUX )让多个被测对象共用同一个采集通道,这就是 图1-3 程序控制数据采集原理 图1-1 一般系统框图

数据采集AD转换实验报告

学生实验报告册 课程名称:___________________________________ 学院:______________________________________ 专业班级:___________________________________ 姓名:______________________________________ 学号:______________________________________ 指导教师:___________________________________ 成绩:______________________________________ 学年学期:2017-2018学年秋学期 重庆邮电大学教务处制

STAB! CUt OK ⑵ ADC0809引脚结构 ADC0809各脚功能如下: D7 ~ D0 : 8位数字量输出引脚。IN0 ~ IN7 : 8位模拟量输入引脚。 VCC +5V工作电压。GND地。 REF( +):参考电压正端。REF(-):参考电压负端。 START A/D转换启动信号输入端。 ALE地址锁存允许信号输入端。(以上两种信号用于启动A/D转换). EOC转换结束信号输出引脚,开始转换时为低电平,当转换结束时为高电平。 OE输出允许控制端,用以打开三态数据输出锁存器。 CLK时钟信号输入端(一般为500KHZ。 A B、C:地址输入线。 ⑶ADC0809对输入模拟量要求: 信号单极性,电压范围是0- 5V,若信号太小,必须进行放大;输入的模拟量在转换过程中应该保持不变,如若模拟量变化太快,则需在输入前增加采样保持电路。 地址输入和控制线:4条 ALE为地址锁存允许输入线,高电平有效。当ALE线为高电平时,地址锁存与译码器将A,B, C三条地址线的地址信号进行锁存,经译码后被选中的通道的模拟量进转换器进行转换。A,B和C为地址输入线,用于选通IN0 —IN7上的一路模拟量输入。通道选择表如下表所示。

多路数据采集与处理

第21卷 第2期韶关大学学报(自然科学版)Vol121 No12 2000年4月Journal of Shaoguan University(Natural Science)Apr12000 多路数据采集与处理 陆 英, 郝宁生 (韶关大学机电系,广东韶关 512003) 摘要:本文介绍了基于8031单片机的多路数据采集和处理系统,以及在大棚温度、湿度控制管理系统中 的应用。给出了部分系统硬件框图和部分主要的软件流程图。 关键词:单片机;温度;湿度;数据采集 中图分类号:TP2 文献标识码:A 文章编号:1007-5348(2000)02-0066-05 随着现代农业的发展,在农业生产中利用大棚种植农作物已比较普遍,对大棚的自动化管理已是现代农业的发展趋势。在大棚生产中,需要根据当时的温度和湿度来决定是否需要进行喷灌、遮阴、通风等管理。然而在传统生产中存在以下问题:(1)在农作物播种和移载期不能满足对温度和湿度进行严格控制的要求,导致出苗不齐、生长缓慢,严重时甚至会造成死亡。(2)只能根据经验来管理生产,不利于农作物的生长。因此,迫切需要一种适合大棚生产要求的自动控制装置。作者针对这一问题,设计了一套单片机数据采集与处理系统。 1 微机控制系统的硬件设计 在生产管理中,不仅要求根据不同的作物作不同的控制,而且还需要根据各种作物的不同生长期所需的温度、湿度设置不同的控制参数,同时要能显示设定值和实际测量值,以便核对和更改。当控制系统工作正常而机构出现故障时,就有可能造成实测参数超出设定值的范围而无法进行有效控制。此时,必须要报警。根据这一设计思想,设计了图1所示的计算机控制系统。 该系统采用了8031单片机作为控制、计算核心,2764作为程序存贮器,扩展一片8155作输出,同时扩展6264作为数据存贮器,A/D转换选用0809,键盘和显示部分用Intel公司为8位微处理器设计的通用键盘/显示器接口芯片8279,设计有20个键可供使用,8位数码管进行显示。 2 数据采集系统 本系统对8路模拟量进行采集,其中四路为温度,另四路为湿度。主要考虑到:(1)当大棚比较大时,增加测量点,减少测量误差;(2)为以后大棚向种植、养禽、孵化多用大棚发展打下基础。8路温度、湿度经变送放大后,送0809进行A/D转换。我们采用的数据采集方式:依次对每一路的数据采样8次,然后进行平均值滤波,以消除随机干扰造 收稿日期:1998-07-07 作者简介:陆英(1965-),女,江苏海门人,韶关大学机电系副教授,主要从事电子技术和单片机的开发研究。 郝宁生(1963-),男,黑龙江牡丹江人,韶关大学机电系工程师,主要从事电子技术和单片机的开发研究。

计算机数据采集系统实验说明书

汇编语言程序设计实验说明书 实验一汇编语言程序上机过程 1实验二屏幕字符显示程序 3实验三音乐程序 5实验四键盘和窗口程序 7实验五活动图形显示程序 9实验六磁盘文件操作程序 12 实验一、实验二、实验四必做,其余选作一题

实验一汇编语言程序上机过程 实验目的: 1、掌握常用工具软件 PE,MASM和LINK的使用。 2、伪指令: SEGMENT,ENDS,ASSUME,END,OFFSET,DUP。 3、利用的 1号功能实现键盘输入的方法。 4、了解.EXE文件和.COM文件的区别及用INT 21H 4C号功能返回系统的方法。 程序: data segment message db 'This is a sample program of keyboard and disply' db 0dh,0ah,'Please strike the key!',0dh,0ah,'$' data ends stack segment para stack 'stack' db 50 dup(?) stack ends code segment assume cs:code,ds:data,ss:stack start: mov ax,data mov ds,ax mov dx,offset message mov ah,9 int 21h again: mov ah,1 int 21h cmp al,1bh je exit cmp al,61h jc nd cmp al,7ah ja nd and al,11011111b nd: mov dl,al mov ah,2 int 21h jmp again exit: mov ah,4ch int 21h code ends end start 实验步骤: 1、用用文字编辑工具(记事本或EDIT)将源程序输入,其扩展名为.ASM。 2、用MASM对源文件进行汇编,产生.OBJ文件和.LST文件。若汇编时提示有错,用文字编辑工具修改源程序后重新汇编,直至通过。 3、用TYPE命令显示1产生的.LST文件。 4、用LINK将.OBJ文件连接成可执行的.EXE文件。

基于STM32单片机的多路数据采集系统设计

基于STM32单片机的多路数据采集系统设计 The Design Of Multi-channel Data Acquisition System Based On STM32 中国地质大学(北京) 指导教师 2013.3.31

摘要 本文是基于ARM Cortex-M3的STM32系列嵌入式微控制器的应用实践,介绍了基于STM32单片机的数据采集的硬件设计和软件设计,数据采集系统是模拟域与数字域之间必不可少的纽带,它的存在具有着非常重要的作用。本文介绍的重点是数据采集系统,而该系统硬件部分的重心在于单片机。数据采集与通信控制采用了模块化的设计,数据采集与通信控制采用了单片机STM32来实现,硬件部分是以单片机为核心,还包括A/D模数转换模块,显示模块,和串行接口部分。该系统从机负责数据采集并应答主机的命令。输入数据是由现场模拟信号产生器产生,8路被测电压再通过模数转换器ADC0809进行模数转换,实现对采集到的数据进行模拟量到数字量的转换,并将转换后的数据传输到上位机,由上位机负责数据的接受、处理和显示,并用LCD数码显示器来显示所采集的结果。软件部分应用Keil uVision4通过C++编写控制软件,对数据采集系统、模数转换系统、数据显示、数据通信等程序进行了设计。 关键词:数据采集 89C52单片机 ADC0809 Keil uVision4

Abstract This article is an application of STM32 series embedded ARM controller based on Cortex-M3 and it describes the hardware design and software design of the data on which based on signal-chip microcomputer .The data collection system is the link between the digital domain and analog domain. It has an very important function. The introductive point of this text is a data to collect the system. The hardware of the system focuses on signal-chip microcomputer .Data collection and communication control use modular design. The data collected to control with correspondence to adopt a machine 8051 to carry out. The part of hardware’s core is STM32, is also includes A/D conversion module, display module, and the serial interface. Slave machine is responsible for data acquisition and answering the host machine.8 roads were measured the electric voltage to pass the in general use mold-few conversion of ADC0809,the realization carries on the conversion that imitates to measure the numeral to measure towards the data that collect .Then send the data to the host machine.the host machine is responsible for data and display, LED digital display is responsible display the data. The software is partly programmed with C++ of the Keil uVision4. The software can realize the function of monitoring and controlling the whole system. It designs much program like data-acquisition treatment,data-display and data-communication ect. Keyword: data acquisition AT89C52 ADC0809 Keil uVision4 目录

相关文档
最新文档