Neo_M590模块硬件设计指南V2.2

Neo_M590模块硬件设计指南V2.2
Neo_M590模块硬件设计指南V2.2

Neo_M590

硬件设计指南

Version V2.2

深圳市有方科技有限公司

有无线,方精彩

Let's enjoy the wireless life!

版权声明

Copyright ? 2008 neoway tech

深圳市有方科技有限公司保留所有权利。

是深圳市有方科技有限公司所有商标。

本手册中出现的其他商标,由商标所有者所有。

说明

本指南的使用对象为系统工程师,开发工程师及测试工程师。

由于产品版本升级或其它原因,本手册内容会在不预先通知的情况下进行必要的更新。

除非另有约定,本手册中的所有陈述、信息和建议不构成任何明示或暗示的担保。

深圳市有方科技有限公司为客户提供全方位的技术支持,任何垂询请直接联系您的客户经理或发送邮件至以下邮箱:

Sales@https://www.360docs.net/doc/a1737086.html,

Support@https://www.360docs.net/doc/a1737086.html,

公司网址:https://www.360docs.net/doc/a1737086.html,

目录

1.概述 (5)

2.外形 (5)

3.设计框图 (5)

4.特性 (6)

5.管脚定义 (7)

6.接口设计参考 (8)

6.1.电源及复位接口 (8)

6.1.1.电源 (8)

6.1.2.上电时序 (10)

6.1.3.ON/OFF管脚说明 (11)

6.1.4.模块的异常恢复 (12)

6.1.5.VCCIO管脚说明 (14)

6.2.串口 (15)

6.3.SIM卡接口 (17)

6.4.指示灯 (18)

6.5.射频连接器 (18)

6.6.信号连接器和PCB封装 (19)

7.装配 (19)

7.1.插针式连接 (19)

7.2.插针尺寸规格 (19)

8.缩略语 (20)

9.附录一 M590的待机(低功耗)模式使用说明 (22)

1.概述

M590通信模块是一款Dual Band的GSM/GPRS工业无线模块,提供短信、数据业务等功能,在各种工业和民用领域得到广泛的应用。

2.外形

7g

4.特性

表 2 M590主要规格

5.管脚定义

6.接口设计参考

6.1.电源及复位接口

表 4 电源及复位接口

C1推荐使用1000uF的低阻抗铝电解电容,如果体积受限,可以改用470uF的钽电解。如果是锂电池直接供电,由于锂电池的内阻小,瞬间大电流的驱动能力强,C1可以考虑用100uF的钽电容。

最大电流出现在弱信号下的通话或者数据传输过程中,典型的电流、电压曲线如下图:

电源设计要保证在运行过程中,电压不能低于3.3 V,否则模块会关机。

另外,请注意,模块在主电源断电、但IO(串口接收3.3V)还有电的情况下,外加的3.3V会通过模块内部两个保护二极管串到VBATT和其他IO上。如下图

图 1 IO电流反馈到VBATT上或其他IO上

解决办法:在模块未加电时,请保证单片机和模块的连接都为低电平或者高阻,比如将单片机的串口发送设置为低电平(配置为IO输入等)。

6.1.2.上电时序

第18pin的EMERGOFF为模块紧急关机脚。EMERGOFF信号详细上电时序如图2,模块上电后,当VCCRTC稳定达到2.85V 4ms后,EMERGOFF为高阻态,持续时间为14ms。之后,EMERGOFF输出22ms低电平,使模块内部复位。

VCCRTC为RTC电源,管脚未引出模块,VCC_MAIN达到2.5V 135uS后,VCCRTC输出2.0V电压。

图 1 模块上电时序图

6.1.3.ON/OFF管脚说明

第19pin的ON/OFF为输入管脚,可由外部控制模块开机和关机,低电平有效。ON/OFF模块内部有弱上拉。

开机流程:在模块处于关机时,模块的ON/OFF脚如果拉低持续300ms以上,则模块开机。开机时,模块的串口会自动输出“MODEM STARTUP”提示,同时模块的LIGHT会开始1秒的闪烁,VCCIO持续输出2.85V。

关机流程:在开机状态下,若ON/OFF为高电平,此时将ON/OFF加低电平持续300ms以上(或者使用AT指令,参考AT指令手册),则模块会进入关机流程,注销网络,通常5秒左右会完全关机,此时再将主电源关闭;若ON/OFF为低电平,将ON/OFF拉高后再加低电平持续300ms以上,模块会进入关机流程,注销网络,通常5秒左右会完全关机,此时再将主电源关闭。

M590推荐的高电平开机电路:

图 3 M590推荐的高开机电路

M590需要低电平开机,通过以上电平变换后,用户控制侧(USER_ON)为高电平开机。

ON/OFF也可以直接和地短接,这样模块会上电自动开机。

注意:ON/OFF管脚具备关机和开机功能,注意避免重复触发导致开机或关机失败。如:用户希望进行开机时,却给了2次300 ms的低脉冲,导致模块关机。

ON/OFF管脚的关机功能是通过模块软件识别的,如模块软件未正常运行,将不能正常关机。

6.1.4.模块的异常恢复

模块由于运行环境复杂,工作时间长,存在死机、假连接等异常问题。出现异常时如何自动恢复,模块的应用设计时必须充分考虑。我们推荐两种方式:

(1)模块的主电源可以控制,关闭主电源,再重新上电。这种方式最彻底、最可靠,无人值守的设备,建议用这种方式。

(2)控制EMERGOFF脚(紧急关机)为低电平100ms,使模块硬关机,类似于处理器硬复位。

这种方式一般用于电池供电的手持设备,如果这种方式无法恢复,可能需要手动断电。

在断电或者复位前,不管模块是否有响应,请先执行关机流程,5秒后再开始上述操作。因为在硬关机时,如果模块正执行存储器写操作,有可能造成程序损坏。

第18pin的EMERGOFF的功能是紧急关机,在模块上电后,有短暂的输出态。注意:用户采用EMERGOFF关机,属于硬关机,即不需要模块软件识别关机,相当于直接关电源。如关机时,模块正执行FLASH写操作,有可能造成FLASH损害,因此,建议用户采用EMERGOFF结合AT关机命令对模块关机。

EMERGOFF在模块内部上拉到VCCRTC,外部不能输入高电平,否则可能会导致开机异常。EMERGOFF在模块内部的连接示意图如下:

图 4 EMERGOFF在模块内部的连接示意图

模块上电时,由内部的电源管理部分(PMU)完成对基带的上电复位,见上图中的EMERGOFF信号,这个上电复位输出的脉冲宽度为22ms左右。PMU的复位输出为OC输出,内部上拉到VCCRTC(2.0V),上拉电阻的阻值范围在10~15K左右。

紧急关机电路设计建议:

1)对EMERGOFF的控制最好为OC输出,如不需要使用,EMERGOFF可以悬空。

图 5 EMERGOFF OC控制(C6、R11要靠近模块管脚)

2)EMERGOFF的有效紧急关机脉冲宽度最少10uS。有效低电平不能高于0.4V。

强烈要求用户不使用此管脚做关机处理,模块异常时可通过断电恢复,若必须使用,要做好抗干扰处理,且必须OC控制。

6.1.5.VCCIO管脚说明

第6pin的VCCIO是模块提供的参考电压,幅值为2.85V,负载能力为15mA,建议仅用于接口电平转换,不作它用。关机后,VCCIO输出关闭。

另外这个管脚可以用作模块运行的指示:正常运行或者休眠为为高电平,关机时为低电平。

6.2.串口

表 5 串口接口

,38400,57600,115200的波特率,默认速率为115200bps。

DTR管脚为低功耗控制管脚,若不使用建议悬空。低功耗使用见AT指令集。

RING信号指示:

1)语音来电:有语音来电时,输出周期为5s脉宽为30ms的低脉冲。电话接通后,恢复成高电平;

2)来短信:有短信到来时,产生一个35ms脉宽的低脉冲提示;

6.3.SIM卡接口

表 7 SIM卡接口

SIM卡走线情况选择,一般为10K。

第12pin的SIMCLK是SIM卡时钟线,一般为3.25MHz,要求PCB布线不能分叉,短粗。远离天线和射频,结电容不能超过100pF。

SIM卡电路建议在靠近卡座除SIMVCC脚用0.1UF的电容外,其他管脚并接27~33pF的电容(见图8),且在设计PCB时,电容尽量靠近SIM卡管脚放置。

注:小的滤波电容主要防止天线距离主板/SIM卡过近,导致射频辐射相互干扰,比如使用短胶棒天线或者内置天线的情况。

6.4.指示灯

射频接口的阻抗为50Ω,模块天线部分应采取必要措施避免有用频段干扰信号,在外部天线和射频连接之间要有良好的屏蔽,而且,要使外部的射频缆线远离所有的干扰源,特别是高速数字信号及开关电源等。

模块所用天线按照移动设备标准,驻波比应在1.1到1.5之间,输入阻抗50Ω,使用环境不同,对天线的增益要求也不同,一般情况下,带内增益越大,带外增益越小,天线的性能越好。当使用多端口天线

时,各个端口之间的隔离度应大于30dB。如双极化天线的两个不同极化端口,双频天线的两个不同频段端口之间,以及双频双极化天线的四个端口之间,隔离度应大于30dB。

6.6.信号连接器和PCB封装

M590使用的信号连接是由DIP插针构成,管脚间距为2.54mm。

DIP插针式连接,推荐的模块PCB封装如图9所示。

图9 M590模块推荐的PCB封装图(topview)

7.装配

M590的连接是DIP插针式连接,采用DIP Socket插针连接。

7.1.插针式连接

插针式连接,采用DIP Socket插针连接。

7.2.插针尺寸规格

模块使用的2.54mm间距插针结构尺寸规格如下:

8.

硬件电路板设计规范标准

0目录 0目录 (2) 1概述 (4) 1.1适用范围 (4) 1.2参考标准或资料 (4) 1.3目的 (5) 2PCB设计任务的受理和计划 (5) 2.1PCB设计任务的受理 (5) 2.2理解设计要求并制定设计计划 (6) 3规范内容 (6) 3.1基本术语定义 (6) 3.2PCB板材要求: (7) 3.3元件库制作要求 (8) 3.3.1原理图元件库管理规范: (8) 3.3.2PCB封装库管理规范 (9) 3.4原理图绘制规范 (11) 3.5PCB设计前的准备 (12) 3.5.1创建网络表 (12) 3.5.2创建PCB板 (13) 3.6布局规范 (13) 3.6.1布局操作的基本原则 (13) 3.6.2热设计要求 (14) 3.6.3基本布局具体要求 (16) 3.7布线要求 (24) 3.7.1布线基本要求 (27) 3.7.2安规要求 (30)

3.8丝印要求 (32) 3.9可测试性要求 (33) 3.10PCB成板要求 (34) 3.10.1成板尺寸、外形要求 (34) 3.10.2固定孔、安装孔、过孔要求 (36) 4PCB存档文件 (37)

1概述 1.1 适用范围 本《规范》适用于设计的所有印制电路板(简称PCB); 规范之前的相关标准、规范的内容如与本规范的规定相抵触的,以本规范为准。 1.2 参考标准或资料 下列标准包含的条文,通过在本标准中引用而构成本标准的条文。在标准出版时,所示版本均为有效。所有标准都会被修订,使用本标准的各方应探讨,使用下列标准最新版本的可能性: GB/4588.3—88 《印制电路板设计和使用》 Q/DKBA-Y001-1999《印制电路板CAD工艺设计规范》 《PCB工艺设计规范》 IEC60194 <<印制板设计、制造与组装术语与定义>> (Printed Circuit Board design manufacture and assembly-terms and definitions) IPC—A—600F <<印制板的验收条件>> (Acceptably of printed board) IEC60950 安规标准 GB/T 4677.16-1988 印制板一般检验方法

光纤模块基本知识

光纤模块基本知识 光纤模块基本知识 光纤模块只有短波(SX)、长波(LX)和超长波(ZX)之分,没有单模多模之分!只有光纤才分单模多模! 短波光纤模块:发光口大,传输距离近 长波和超长波光纤模块:发光口小,传输距离远 多模光纤:纤芯直径大,传输距离近 单模光纤:纤芯直径小,传输距离远 短波模块-单模光纤-短波模块:不可行!因为短波模块的发光口大于单模光纤的纤芯直径,部分光信号无法进入光纤 长波模块-多模光纤-长波模块:一般可行,因为长波模块的发光口小于多模光纤的纤芯直径,所有光信号能够进入光纤。但传输距离受多模光纤限制,只有几百米,而且本人见过连通性不稳定甚至连不通的情况! 长波模块-多模光纤-短波模块:不可行!两端波长必须相同! 如果传输距离较远,必须选择长波模块-单模光纤-长波模块! 光纤主要分为两类: 单模光纤(Single-mode Fiber):一般光纤跳线用黄色表示,接头和保护套为

蓝色;传输距离较长。 多模光纤(Multi-mode Fiber):一般光纤跳线用橙色表示,也有的用灰色表示,接头和保护套用米色或者黑色;传输距离较短。 光纤使用注意! 光纤跳线两端的光模块的收发波长必须一致,也就是说光纤的两端必须是相同波长的光模块,简单的区分方法是光模块的颜色要一致。 一般的情况下,短波光模块使用多模光纤(橙色的光纤),长波光模块使用单模光纤(黄色光纤),以保证数据传输的准确性。 光纤在使用中不要过度弯曲和绕环,这样会增加光在传输过程的衰减。光纤跳线使用后一定要用保护套将光纤接头保护起来,灰尘和油污会损害光纤的耦合。 单模多模 1. 光纤是如何工作的? 通讯用光纤由外覆塑料保护层的细如毛发的玻璃丝组成。玻璃丝实质上由两部分组成:核心直径为9到62.5μm,外覆直径为125μm的低折射率的玻璃材料。虽然按所用的材料及不同的尺寸而分还有一些其它种类的光纤,但这里提到的是最常见的那几种。光在光纤的芯层部分以“全内反射”方式进行传输,也就是指光线进入光纤的一端后,在芯层和包层界

硬件设计规范

XXX电子有限公司 XXX电子硬件设计规范 V1.2

xxx 电子有限公司发布 1.目的: 为规范硬件设计、保证产品质量和性能、减少各类差错,特制定本规范。 2.适用范围 XXX公司自行研发、设计的各类产品中硬件设计的全过程,各部门涉及到有关内容者均以此规范为依据。 3.文档命名规定 硬件设计中涉及各种文档及图纸,必须严格按规则命名管理。由于XXX公司早期采用的 6.01设计软件不允许文件名超过8个字符,故文件名一直规定为8.3模式。为保持与以前文件 的兼容,本规范仍保留这一限制,但允许必要情况下在文件名后面附加说明性文字。 3.1.原理图 3.1.1.命名规则 原理图文件名形如 xxxxYmna.sch 其中xxxx:为产品型号,由4位阿拉伯数字组成,型号不足4位的前面加0。 Y:为电路板类型,由1位字母组成,目前已定义的各类板的字母见附录1。 m:为文件方案更改序号,表示至少有一个电路模块不同的电路方案序号,不同方案的电路可同时在生产过程中流通,没有互相取代关系。 n:一般为0,有特殊更改时以此数字表示。 a:为文件修改序号,可为0-z,序号大的文件取代序号小的文件。 例如:1801采用SSM339主控芯片的主板原理图最初名为1801M001.SCH,进行电路设计改进后为1801M002.SCH、1801M003.SCH等;改为采用AK1020主控芯片后名为1801M101.SCH,在此基础上的改进版叫1801M102.SCH、1801M103.SCH等。 3.1.2.标题框 原理图标题框中包含如下各项,每一项都必须认真填写: 型号(MODEL):产品型号,如1801(没有中间的短横线); 板名(BOARD):电路板名称,如MAIN BOARD、FRONT BOARD等; 板号(Board No.):该电路板的编号,如1801100-1、1801110-1等,纯数字表示,见“3.2.2.”; 页名(SHEET):本页面的名称,如CPU、AUDIO/POWER、NAND/SD等; 页号(No.):原理图页数及序号,如1 OF 2、2 OF 2等; 版本(REV.):该文件修改版本,如0.1、0.11、1.0等,正式发行的第一版为V1.0; 日期(DATE):出图日期,如2009.10.16等,一定要填出图当天日期; 设计(DESIGN):设计人,由设计人编辑入标题框; 审核(CHECK):审核人,需手工签字; 批准(APPROVE):批准人,需手工签字。 3.2.PCB图 3.2.1.命名规则 PCB文件除后缀为.PCB外,文件名主体及各字段的意义与对应的原理图文件完全相同。 注意:PCB图更改后,即便原理图没有变动,也必须更改原理图文件名,使二者始终保持这种对应关系。

光模块基础知识大全分类及选用

光模块基础知识大全、分类及选用 、光模块基本知识 1、定义: 光模块:也就是光收发一体模块。 2、结构: 光收发一体模块由光电子器件、功能电路和光接口等组成,光电子器件包括发射和接收两部分。 发射部分是:输入一定码率的电信号经内部的驱动芯片处理后驱动半导体激光器(LD)或发光二极管(LED发射出相应速率的调制光信号,其内部带有光功率自动控制电路,使输出的光信号功率保持稳定。 接收部分是:一定码率的光信号输入模块后由光探测二极管转换为电信号。 经前置放大器后输出相应码率的电信号,输出的信号一般为P ECL电平。同时在 输入光功率小于一定值后会输出一个告警信号。 3、光模块的参数及意义 光模块有很多很重要的光电技术参数,但对于GBIC和SFP这两种热插拔光 模块而言,选用时最关注的就是下面三个参数: 1)中心波长 单位纳米(nm,目前主要有3种: 850nm( MM多模,成本低但传输距离短,一般只能传输500M ; 1310nm (SM单模,传输过程中损耗大但色散小,一般用于40KM以内的传

1550nm (SM单模,传输过程中损耗小但色散大,一般用于40KM以上的长 距离传输,最远可以无中继直接传输120KM) 2)传输速率 每秒钟传输数据的比特数(bit ),单位bps。 目前常用的有4种:155Mbps、1.25Gbps、2.5Gbps、10Gbps等。传输速率一般向下兼容,因此155M光模块也称FE (百兆)光模块,1.25G光模块也称GE (千兆)光模块,这是目前光传输设备中应用最多的模块。此外,在光纤存储系统(SAN中它的传输速率有2Gbps 4Gbps和8Gbps 3)传输距离 km 。 光信号无需中继放大可以直接传输的距离,单位千米(也称公里, 光模块一般有以下几种规格:多模550m 单模15km 40km 80km和120km 等等。 除以上3种主要技术参数(波长,速率,距离)外,光模块还有如下几个基本概念,这些概念只需简单了解就行。 a、激光器类别 激光器是光模块中最核心的器件,将电流注入半导体材料中,通过谐振腔的 光子振荡和增益射出激光。目前最常用的激光器有FP和DFB激光器,它们的差 异是半导体材料和谐振腔结构不同,DFB激光器的价格比FP激光器贵很多。传输距离在40KM 以内的光模块一般使用FP激光器;传输距离》40KM的光模块一般使用DFB激光器。 b、损耗和色散 损耗是光在光纤中传输时,由于介质的吸收散射以及泄漏导致的光能量损失, 这部分能量随着传输距离的增加以一定的比率耗散。色散的产生主要是因为不同 波长的电磁波在同一介质中传播时速度不等,从而造成光信号的不同波长成分由于传输距离的累积而在不同的时间到达接收端,导致脉冲展宽,进而无法分辨信

USRC215wifi模块硬件设计手册

USR-C215 wifi模块硬件设计手册

目录 1. 产品概述 (3) 1.1产品简介 (3) 1.2引脚描述 (3) 1.3尺寸描述 (4) 1.4 开发套件 (5) 2.硬件参考设计 (6) 2.1典型应用硬件连接 (6) 2.2电源接口 (6) 2.3 UART接口 (7) 2.4复位控制和恢复出厂设置控制 (8) 2.5天线 (8) 3.免责声明 (9) 4.更新历史 (9) 附件1:评估板原理图 (9)

1.产品概述 1.1产品简介 USR-C215模块硬件上集成了MAC、基频芯片、射频收发单元、以及功率放大器;内置低功耗运行机制,可以有效实现模块的低功耗运行;支持WiFi协议以及TCP/IP协议,用户仅需简单配置,即可实现UART设备的联网功能。尺寸较小,易于组装在客户产品的硬件单板电路上,且模块可选择内置或外置天线的应用,方便客户多重选择。 1.2引脚描述 下图为USR-C215的引脚对应图: 图1 USR-C215 引脚图

表1 USR-C215模块管脚说明 注:在信号类型中,P表示电源,I表示输入,O表示输出,N表示不可用 管脚名称信号类型说明 1 GND P 电源地 2 VDD P 电源正极,3.3V 3 RELOAD I 拉低1-3秒是启动simplelink配置,3S以上是恢复出厂设置 4 RESET I 模块复位,低电平有效 5 UART_RX I 串口接收引脚 6 UART_TX O 串口发送引脚 7 PWR_SW N 悬空,不可用 8 WPS N 悬空,不可用 9 READY O 模块工作正常指示引脚,低有效,可外接LED 10 nLINK O 模块WiFi连接指示引脚,低有效,可外接LED 1.3尺寸描述 外形尺寸为22.0*13.5mm,误差为±0.2mm.引脚尺寸如图2 图2 外形尺寸图

M6312硬件设计手册

M6312硬件设计手册 GSM系列 版本:V006 日期:2017-07-20 中移物联网有限公司 https://www.360docs.net/doc/a1737086.html,

V0012016-12-13 张乐原始版本 V0022017-03-27 张乐修改RI引脚描述 V0032017-04-01张乐修改EMERG_OFF引脚定义 V0042017-4-14 张乐修改EMERG_OFF参考设计 V0052017-4-20 贾灿增加包装说明 V0062017-7-20 张乐修改固件升级说明,更新SIM卡切换说明

2.2功能框图 (9) 2.3评估板 (10) 3应用接口 .................................................................. - 11 - 3.1管脚描述 (11) 3.2工作模式 (15) 3.3电源供电 (16)

5电气性能,可靠性 .......................................................... - 31 - 5.1绝对最大值 (31) 5.2工作温度 (32) 5.3电源额定值 (32) 5.4耗流 (33)

图 9 按键紧急关机电路................................................... - 20 - 图 10 串口三线制连接方式示意图.......................................... - 22 - 图 11 串口流控连接方式示意图............................................ - 23 - 图 12 固件升级连线图.................................................... - 23 - 图 13 调试串口连接图.................................................... - 24 - 图 14 3.3V电平转换电路................................................. - 24 - 图 15 5V 电平转换电路................................................... - 25 - 图 16 RS232 电平转换电路................................................ - 25 - 图 17 NETLIGHT参考电路................................................ - 27 - 图 18 STATUS 参考电路.................................................. - 28 -

光模块基础知识大全、分类及选用

光模块基础知识大全、分类及选用 一、光模块基本知识 1、定义: 光模块:也就是光收发一体模块。 2、结构: 光收发一体模块由光电子器件、功能电路和光接口等组成,光电子器件包括发射和接收两部分。 发射部分是:输入一定码率的电信号经内部的驱动芯片处理后驱动半导体激光器(LD)或发光二极管(LED)发射出相应速率的调制光信号,其内部带有光功率自动控制电路,使输出的光信号功率保持稳定。 接收部分是:一定码率的光信号输入模块后由光探测二极管转换为电信号。经前置放大器后输出相应码率的电信号,输出的信号一般为PECL电平。同时在输入光功率小于一定值后会输出一个告警信号。 3、光模块的参数及意义 光模块有很多很重要的光电技术参数,但对于GBIC和SFP这两种热插拔光模块而言,选用时最关注的就是下面三个参数: 1)中心波长 单位纳米(nm),目前主要有3种: 850nm(MM,多模,成本低但传输距离短,一般只能传输500M); 1310nm (SM,单模,传输过程中损耗大但色散小,一般用于40KM以内的传输);

1550nm (SM,单模,传输过程中损耗小但色散大,一般用于40KM以上的长距离传输,最远可以无中继直接传输120KM); 2)传输速率 每秒钟传输数据的比特数(bit),单位bps。 目前常用的有4种: 155Mbps、1.25Gbps、2.5Gbps、10Gbps等。传输速率 一般向下兼容,因此155M 光模块也称FE(百兆)光模块,1.25G光模块也称GE (千兆)光模块,这是目前光传输设备中应用最多的模块。此外,在光纤存储系统(SAN)中它的传输速率有2Gbps、4Gbps和8Gbps。 3)传输距离 光信号无需中继放大可以直接传输的距离,单位千米(也称公里,km)。 光模块一般有以下几种规格:多模550m,单模15km、40km、80km和120km 等等。 除以上3种主要技术参数(波长,速率,距离)外,光模块还有如下几个基本概念,这些概念只需简单了解就行。 a、激光器类别 激光器是光模块中最核心的器件,将电流注入半导体材料中,通过谐振腔的光子振荡和增益射出激光。目前最常用的激光器有FP和DFB激光器,它们的差异是半导体材料和谐振腔结构不同,DFB激光器的价格比FP激光器贵很多。传 输距离在40KM以内的光模块一般使用FP激光器;传输距离≥40KM的光模块一 般使用DFB激光器。 b、损耗和色散 损耗是光在光纤中传输时,由于介质的吸收散射以及泄漏导致的光能量损失,这部分能量随着传输距离的增加以一定的比率耗散。色散的产生主要是因为不同

Neo_M590E模块硬件设计指南(邮票孔) V1.0

Neo_M590E 硬件设计指南
Version V1.0
深圳市有方科技有限公司
有无线,方精彩 Let's enjoy the wireless life!

Neo_M590E 硬件设计指南
V1.0
版权声明
Copyright ? 2008 neoway tech 深圳市有方科技有限公司保留所有权利。
是深圳市有方科技有限公司所有商标。 本手册中出现的其他商标,由商标所有者所有。
说明
本指南的使用对象为系统工程师,开发工程师及测试工程师。 由于产品版本升级或其它原因,本手册内容会在不预先通知的情况下进行必要的更新。 除非另有约定,本手册中的所有陈述、信息和建议不构成任何明示或暗示的担保。
深圳市有方科技有限公司为客户提供全方位的技术支持,任何垂询请直接联系您的客户经理 或发送邮件至以下邮箱: Sales@https://www.360docs.net/doc/a1737086.html, Support@https://www.360docs.net/doc/a1737086.html, 公司网址:https://www.360docs.net/doc/a1737086.html,
深圳市有方科技有限公司版权所有
第 2 页,共 18 页

Neo_M590E 硬件设计指南
V1.0

1. 2. 3. 4. 5. 6. 6.1. 6.1.1. 6.1.2. 6.1.3. 6.1.4. 6.1.5. 6.1.6. 6.2. 6.3. 6.4. 6.5. 6.6. 7. 8.

概述 .................................................................... 5 外形 .................................................................... 5 设计框图 ................................................................ 5 特性 .................................................................... 6 管脚定义 ................................................................ 7 接口设计参考 ............................................................ 7 电源及复位接口 .........................................................................................................7 电源.........................................................................................................................8 上电时序 .................................................................................................................9 ON/OFF 管脚说明 ..................................................................................................9 EMERGOFF 管脚说明...........................................................................................11 VCCIO 管脚说明..................................................................................................12 模块开机、关机及复位 .........................................................................................12 串口 ..........................................................................................................................13 SIM 卡接口................................................................................................................14 指示灯 ......................................................................................................................15 信号连接器和 PCB 封装 ............................................................................................15 射频连接器...............................................................................................................16 装配 ................................................................... 16 缩略语 ................................................................. 17
深圳市有方科技有限公司版权所有
第 3 页,共 18 页

硬件电路设计规范

硬件电路板设计规范 制定此《规范》的目的和出发点是为了培养硬件开发人员严谨、务实的工作作风和严肃、认真的工作态度,增强硬件开发人员的责任感和使命感,提高工作效率和开发成功率,保证产品质量。 1、深入理解设计需求,从需求中整理出电路功能模块和性能指标要求; 2、根据功能和性能需求制定总体设计方案,对CPU等主芯片进行选型,CPU 选型有以下几点要求: 1)容易采购,性价比高; 2)容易开发:体现在硬件调试工具种类多,参考设计多,软件资源丰富,成功案例多; 3)可扩展性好; 3、针对已经选定的CPU芯片,选择一个与我们需求比较接近的成功参考设计。 一般CPU生产商或他们的合作方都会对每款CPU芯片做若干开发板进行验证,厂家最后公开给用户的参考设计图虽说不是产品级的东西,也应该是经过严格验证的,否则也会影响到他们的芯片推广应用,纵然参考设计的外围电路有可推敲的地方,CPU本身的管脚连接使用方法也绝对是值得我们信赖的,当然如果万一出现多个参考设计某些管脚连接方式不同,可以细读CPU芯片手册和勘误表,或者找厂商确认;另外在设计之前,最好我们能外借或者购买一块选定的参考板进

行软件验证,如果没问题那么硬件参考设计也是可以信赖的;但要注意一点,现在很多CPU都有若干种启动模式,我们要选一种最适合的启动模式,或者做成兼容设计; 4、根据需求对外设功能模块进行元器件选型,元器件选型应该遵守以下原则: 1)普遍性原则:所选的元器件要被广泛使用验证过的尽量少使用冷、偏芯片,减少风险; 2)高性价比原则:在功能、性能、使用率都相近的情况下,尽量选择价格比较好的元器件,减少成本; 3)采购方便原则:尽量选择容易买到,供货周期短的元器件; 4)持续发展原则:尽量选择在可预见的时间内不会停产的元器件; 5)可替代原则:尽量选择pin to pin兼容种类比较多的元器件; 6)向上兼容原则:尽量选择以前老产品用过的元器件; 7)资源节约原则:尽量用上元器件的全部功能和管脚; 5、对选定的CPU参考设计原理图外围电路进行修改,修改时对于每个功能模块都要找至少3个相同外围芯片的成功参考设计,如果找到的参考设计连接方法都是完全一样的,那么基本可以放心参照设计,但即使只有一个参考设计与其他的不一样,也不能简单地少数服从多数,而是要细读芯片数据手册,深入理解那些管脚含义,多方讨论,联系芯片厂技术支持,最终确定科学、正确的连接方式,如果仍有疑义,可以做兼容设计;当然,如果所采用的成功参考设计已经是

M5310硬件设计手册_V1.2

M5310硬件设计手册 NB-IoT系列 版本:V1.2 日期:2017-09-30 中移物联网有限公司 https://www.360docs.net/doc/a1737086.html,

关于文档修订记录

目录 关于文档 (1) 目录 (2) 图片索引 (4) 表格索引 (4) 1引言 (6) 1.1安全须知 (6) 2综述 (7) 2.1主要性能 (7) 2.2功能框图 (8) 3应用接口 (8) 3.1管脚描述 (9) 3.2工作模式 (11) 3.3电源供电 (12) 3.3.1.模块电源供电接口 (12) 3.3.2.减少电压跌落 (12) 3.3.3.供电参考电路 (13) 3.4开机 (13) 3.5关机 (14) 3.6复位模块 (14) 3.7SWD接口 (15) 3.8串口 (16) 3.8.1主串口 (17) 3.8.2调试串口 (18) 3.8.3串口应用 (18) 3.9SIM IC (19) 3.10ADC数模转换 (21) 3.11网络状态指示 (21) 4天线接口 (22) 4.1射频参考电路 (22) 4.2RF输出功率 (23) 4.3RF接收灵敏度 (23)

4.4工作频率 (23) 4.5天线要求 (23) 4.6推荐RF焊接方式 (24) 5电气性能,可靠性 (24) 5.1绝对最大值 (24) 5.2工作温度 (24) 5.3耗流 (25) 6机械尺寸 (25) 6.1模块机械尺寸 (26) 6.2模块俯视图 (27) 6.3模块底视图 (27) 7存储和生产 (28) 7.1存储 (28) 7.2生产焊接 (28) 7.3包装 (29) 附录A参考文档及术语缩写 (30) 3

AC109N硬件设计指南V1.4讲解

AC109N 硬件设计指南
珠海市杰理科技有限公司
版本:V1.4 日期:2013.01.14

目 录
1. 2. 版本信息 ................................................................................................................................................. 1 引脚定义 ................................................................................................................................................. 1 2.1 引脚分配 ..................................................................................................................................... 1 2.2 引脚描述 ..................................................................................................................................... 5 电气特性 ................................................................................................................................................. 8 3.1 LDO 电压、电流特性 ................................................................................................................ 8 3.2 I/O 输入、输出高低逻辑特性 ................................................................................................... 8 3.3 I/O 输出能力、上下拉电阻特性 ............................................................................................... 8 硬件设计说明 ......................................................................................................................................... 9 4.1 AC109N OTP 版 Boombox 设计说明 ....................................................................................... 9 设计特殊说明(★此章节为重点章节,须识记) ........................................................................... 10 5.1 AC109N 特殊说明 ................................................................................................................... 10 5.1.1 IO 特性 .......................................................................................................................... 10 5.1.2 LCD 驱动 ...................................................................................................................... 10 5.2 DAC 音频电路设计.................................................................................................................. 10 5.3 AMUX 设计 .............................................................................................................................. 11 5.4 SD 卡电源设计 ......................................................................................................................... 11 5.5 USB 电源设计 .......................................................................................................................... 11 5.6 GND 和 AGND......................................................................................................................... 11 PCB 布局和 Layout 注意事项 ............................................................................................................. 12 6.1 晶振走线要求 ........................................................................................................................... 12 6.2 FM 走线和铺地处理 ................................................................................................................ 12 6.3 音频信号走线要求 ................................................................................................................... 13 引脚封装 ............................................................................................................................................... 14 7.1 LQFP64-10*10mm 封装图 ....................................................................................................... 14 7.2 LQFP48-7*7mm 封装图 ........................................................................................................... 15 7.3 SOP28 封装图........................................................................................................................... 15 7.4 SSOP24 封装图 ........................................................................................................................ 16 7.5 SOP16 封装图........................................................................................................................... 16 附录 ....................................................................................................................................................... 17 8.1 附录 1:P00 和 P01 挂高速晶振电路 ..................................................................................... 17
3.
4. 5.
6.
7.
8.
I 本文中所有信息归珠海市杰理科技有限公司所有,未经授权,不得外传。

TI TMS320F28xx 硬件电路设计指导手册

Application Report SPRAAS1B–August2011 Hardware Design Guidelines for TMS320F28xx and TMS320F28xxx DSCs Pradeep Shinde ABSTRACT TMS320F28xx and F28xxx digital signal controllers(DSCs)include multiple complex peripherals running at fairly high-clock frequencies.They are commonly connected to low level analog signals using an onboard analog-to-digital converter(ADC).This application report is organized as a guide for system level hardware design,parts selection,and schematics design to board layout and helps in avoiding those hardware errors that become costly and time consuming when detected during the system level-debugging phase of the project,using the prototype of the custom board of the project.The issues related to clock generation,JTAG,power supply,interfacing of peripherals with special attention to analog inputs to ADC,general-purpose input/output(GPIO)connections,testing and debug,electromagnetic interference(EMI)and electromagnetic compatibility(EMC)considerations,etc.,are discussed.Each section explains signal routing and layout tips. Contents 1Introduction (2) 2Typical System and Challenges (2) 3Handling of Different Hardware Building Blocks (4) 4Schematics and Board Layout Design (23) 5EMI/EMC and ESD Considerations (27) 6Conclusion (29) 7References (30) List of Figures 1Typical TMS320F28xx/28xxx System (3) 2Options for Clock Input (4) 3Typical Crystal Circuit (5) 4Connecting External Oscillator to F280x/F28xxx (6) 5XRS Connection With Watchdog Module (7) 6JTAG Header to Interface Target to a Scan Controller (8) 7JTAG Pin Connections(for a single F28x based system) (9) 8Emulator Connections for Multiprocessor System (10) 9Emulator Daisy-Chain Connections (10) 10ADC Pin Connections for TMS320F28xxx (12) 11Analog Input Impedance Model(F28xxx) (13) 12Typical Buffer/Driver Circuit for ADCIN (13) 13F281x ADC External Reference Schematics (15) 14Typical CAN Transceiver Schematic (17) 15Typical RS-232Transceiver Schematic (17) 16Separate Digital and Analog Supplies (19) 17Suggested Crystal Oscillator Layout (24) C2000,Code Composer Studio are trademarks of Texas Instruments. eZdsp is a trademark of Spectrum Digital,Inc. All other trademarks are the property of their respective owners.

相关文档
最新文档