PADS_Logic中文教程

PADS_Logic中文教程
PADS_Logic中文教程

PADS2007系列教程

――PADS Logic

(原PowerLogic)

比思电子有限公司

https://www.360docs.net/doc/a34253799.html,

HK +852-******** SZ 755-88859921 https://www.360docs.net/doc/a34253799.html, SH 21-51087906 BJ 10-51665105

PADS Logic教程简介

欢迎使用PADS Logic教程。本教程由比思电子有限公司(KGS Technology Ltd.)编写,本公司是Mentor (以前的 Innoveda-PADS) PADS(以前的PowerPCB)产品、APLAC 的射频和微波仿真工具、DpS 的电气图CAD系统PCschematic 在中国的授权代理商。KGS公司自1989年开始,一直致力于PADS软件产品的销售和支持。

公司提供电子产品在原理样机设计开发阶段全面的解决方案。包括相关的CAE/CAD/CAM等EDA软件、提供PCB设计服务、PCB样板加工制造、快速PCB加工设备、PCB元器件装配。所有技术人员都具有十年以上的PCB设计领域从业经历。

本教程描述了PADS Logic的各种功能和特点、以及使用方法。这些功能包括:

·如何在PADS Logic中使用工作区(Working Area)。

·如何在PADS Logic的元件库中定义目标库(Library)。

·如何从库中搜索有关的元件(Part)。

·如何添加连线(Connection)、总线(Bus)、使用页间连接符号(Off-Page)。

·移动(Move)、拷贝(Copy)、删除(Delete)和编辑(Edit)等操作方式(Mode)。

·在设计数据编辑时使用查询/修改(Query/Modify)命令。

·如何定义设计规则(Design Rules)。

·如何建立网表(Netlist)和SPICE格式网络表以及材料清单(BOM)报告和生成智能PDF文档。

·如何输入中英文文本和输入变量数值。

·如何使用目标连接与嵌入(OLE)功能。

·如何使用工程设计更改(Engineering Change Orders (ECO))。

当您学习了这些基本的内容后,可以参考PADS Logic的在线帮助(On-line Help)部分,以便得到PADS Logic全部功能的详细介绍。同时您也可以与我们公司在各地的办事处取得联系,以便得到更多的帮助。

启动PADS Logic

如果现在PADS Logic程序还没有运行,可以通过在Windows 2000或WindowsXP环境下的启动程序菜单中的“开始/程序/Mentor Graphics SDD/PADS2007/System Design”选择PADS Logic,使其运行。

注意:这里提供的一些设计文件用于本教程,在整个教程的学习使用中,您可以使用这些文件,或者建立、保存和打开您自己的设计文件。

提示:如果您使用现在提供的这些文件,最好在一个不同的目录下拷贝这些文件,进行备份。教程允许您以另外指定的文件名保存设计文件,但是如果您用原来的文件名,则将原来的文件将被覆盖。为了避免将原始的文件覆盖,所以将它们备份起来,或者换一个其它的文件名保存起来。

欢迎使用PADS Logic进行原理图设计

技术支持:support@https://www.360docs.net/doc/a34253799.html,

目录

第一节 – 图形用户界面(GUI)

第二节 – 在PADS的库内定义元件库(Library)

第三节 – 添加和编辑元件(Parts)

第四节 – 建立和编辑连线(Connections)

第五节 – 添加总线(Buses)

第六节 – 修改设计数据

第七节 – 定义设计规则(Design Rules)

第八节 – 产生网络表、报告、智能PDF文档

第九节 – 文本的输入和添加变量文本(Add Field)

第十节 – 使用PADS Logic的目标连接与嵌入OLE功能

第十一节–工程设计更改(Engineering Change Orders (ECO))

第一节 – 图形用户界面(GUI)

PADS Logic的用户界面设计得非常易于使用,PADS Logic在努力满足高级用户需要的同时,还考虑到许多初次使用PADS软件的人员情况。

本节教程包含以下内容:

· PADS Logic中的交互操作过程

·工作空间的使用

·设置栅格(Grids)

·使用取景( Pan)和缩放(Zoom)

·常用参数的设置

PADS Logic中的交互操作过程

PADS Logic使用标准Windows风格的菜单(Menu)命令方式,如弹出菜单(Pop-up Menus)、热键(Shortcut Keys)、工具条(Toolbars)和工具盒(Toolboxes)执行命令。

在本教程中,使用下拉菜单(Pull-down)的命令格式是菜单/命令(Menu/Command)。例如,使用文件菜单中的打开文件名为文件/打开(File/Open)。

弹出菜单(Pop-up Menus)

PADS Logic使用弹出菜单(Pop-up Menu)命令,按鼠标右键显示可以执行的命令,或者可以选择的目标项目。

1. 在PADS Logic窗口内的任何地方按一下鼠标,击活这个窗口。

2. 按鼠标右键,弹出菜单(Pop-up Menu)将出现在鼠标的位置处,这个弹出窗口,可以让您快速进行过滤器的选择,或者您也可以通过Filter…自行选择配置过滤项目。

工具条(Toolbars)和工具盒(Toolboxes)

PADS Logic使用Windows标准的工具条(Standard Toolbars)

和工具盒(Toolboxes)设计(Design)工具盒,该工具盒包含工具条

和选择(Selection)工具盒,该工具盒包含工具条

这些图形代表了有效的命令,称为图标(Icons)。工具条(Toolbars)位于菜单栏(Menu Bar)的下面。

无模命令(Modeless Commands)和快捷键(Shortcut Keys)

无模命令 (Modeless Commands)和快捷键 (Shortcut Keys)允许您通过键盘直接输入命令及其选项。例:

标准的Windows快捷键,如Alt-F用于显示文件菜单等命令,在 PADS Logic 中同样有效。关于具体的无模命令可打开帮助菜单(Help)下的Modeless Commands选项进行查看。

取消命令操作

您可以在任何时候,通过按键盘上的Esc键或按鼠标右键并从弹出菜单(Pop-up Menu)中选择取消(Cancel)命令,取消当前的命令和命令序列。

使用工作空间

PADS Logic的工作空间为56英寸x 56英寸。工作空间的原点(0,0)用一个白色的小圆点表示。原点位于页面的左下角。一个大的白色的矩形代表页面的区域。尺寸B的原理图的面积是11英寸 x 17英寸,尺寸C的页面是17英寸 x 22英寸等等。

当您将光标(Cursor)在工作区域内移动时,它所在位置的X/Y绝对坐标值将动态地显示在屏幕底部的状态条(Status Bar)内。

工作区域练习

1. 将光标放置在原点,注意0,0坐标值应可以在状态条(Status Bar)读出。

2. 在工作区内移动光标,注意X/Y坐标值应该随着光标的位置而改变。

设置栅格(Grids)

PADS Logic有两类栅格(Grid):设计栅格(Design Grid)和显示栅格(Display Grid)。

设计栅格(Design Grid)

设计栅格(Design Grid)指的是光标每移过一小格的距离,确定增加元件(Parts)和连线(Connections)的间隔和转角的位置。这个栅格用于绘制项目,如多边形(Polygons)、不封闭图形(Paths)、圆(Circles)和矩形(Rectangles)。最小的栅格设置是2 mils。选择工具/选项(Tools/Options)命令,并且选择设计(Design)标签可以观察到当前的设计栅格(Design Grid)设置情况。

显示栅格(Display Grid)

这是一种点状的栅格,用于设计的辅助。您可以设置显示栅格(Display Grid)与设计栅格(Design Grids)匹配,或者您可以设置它为设计栅格(Design Grids)的倍数。选择工具/选项(Tools/Options)命令,并选择全局(Global)标签,查看当前的显示栅格(Display Grid)设置。为了关闭显示栅格(Display Grid),可以设置显示栅格(Display Grid)小于10。但这并不是真正的取消,除非您用缩放(Zoom)将一个小区域放大很多倍,否则您是看不到它的。

栅格练习(Grid Exercise)

每个栅格都是在工具菜单(Tools Menu)下,通过选项(Options)命令或者使用无模(Modeless)命令单独设置的。在下面的练习中,您将使用无模(Modeless)命令设置设计栅格(Design Grid)和显示栅格(Display Grids)。

1. 对于显示栅格(Display Grid),键入字符GD(不区分大小写字母)。在字符窗口将显示一个直接(Modeless)命令,并显示GD字符。

2. 键入500,并且按回车(Enter)。

注意:您也许需要放大(Zoom in)或缩小(Zoom out),以便看到栅格(Grid)。

能否有效地看到栅格(Grid)取决于输入的栅格(Grid)值。参考使用取景和缩放(Using Pan and Zoom)。

您可以一步键入G500,然后按回车(Enter),设置设计栅格(Design Grid) 。

如果您不能看到栅格(Grid),试图放大(Zooming in)或缩小(Zooming out)。参考下一节“使用取景和缩放(Using Pan and Zoom)。”

注意:在无模(Modeless)命令和值(Value)之间最好加一个空格(Space)。

通过设置/参数(Setup/Preferences)的其它控制方法同样是有效的。

使用取景(Pan)和缩放(Zoom)

有几种方法可以控制设计图形的显示中心、放大和缩小。在这个练习中,我们使用鼠标(Mouse)。

使用两键鼠标(Mouse),可以打开和关闭缩放(Zoom)图标。在缩放(Zoom)方式下,点击鼠标左右键分别进行放大和缩小的操作。

使用三键鼠标(Mouse),中间键的缩放(Zoom)方式始终是有效的。

放大(Zooming in)和缩小(Zooming out)是通过将光标放在区域的中心,按住鼠标左键然后拖出一个区域进行的,当鼠标往下的方向拖动时,可以进行缩小操作;当鼠标往上的方向拖动时,可以进行放大的操作。

取景(Pan)和缩放(Zoom)功能还可以通过查看菜单(View Menu)使用命令、通过使用数字键盘(Numeric Keypad)的Page Up、Page Down、Home和Insert键以及使用Windows滚动条(Scroll Bars)等方式进行。参考在线帮助以便得到更多信息。

打开原理图设计文件

为了更加容易的观察图形缩放的变化,首先打开一个设计文件。

1. 选择文件/打开(File/Open)。

因为在PADS Logic中您执行的任何操作都被认为是新建立文件的部分,包括弹出菜单(Pop-up menu)和栅格练习,所以一个对话框将出现提示您保存老的设计文件,在这里选择No。

2. 从文件打开(File Open)对话框中,双击名为preview.sch的文件。

缩放练习(Practice Zoom)

为了进行缩放(Zoom):

1. 在工具条(Toolbar)上选择缩放(Zoom)图标。

注意:如果您使用三键鼠标(Mouse),直接跳到第二步。使用中间键替代第二步和第三步中的鼠标左键。

2. 放大(Zoom in)。在您希望观察的区域中心按住鼠标左键,向上拖动鼠标,即远离您的方向,随着光标的移动一个动态的矩形将出现,当这个矩形包含了您希望观察的区域后,松开鼠标即可。

3. 缩小(Zoom out)。重复第二步的内容,但是拖动的方向向下,或者向着您的方向。一个虚线构成的矩形就是当前要观察的区域。

为了练习缩放(Zoom)方式的观察视窗的大小,可以恢复原始视图,选择图标或点击菜单查看(View)下的Extents选项。

4.点击(Zoom)方式图标,结束缩放(Zoom)方式。

5.恢复前一个视窗,可按图标。

使用两键鼠标取景(Panning)

为了使用两键鼠标(Mouse),移动光标到您希望观察区域的中心,不要动光标,按键盘上的Insert键。

注意:此时,您不需要在缩放(Zoom)方式使用Insert key进行取景(Pan)。

为了同时取景(Pan)和缩放(Zoom):

1. 在工具条(Toolbar)上选择缩放(Zoom)图标。

2. 将光标放在新的视区的中心。

按鼠标左键则取景(Pan)和放大(Zoom in)。

按鼠标右键则取景(Pan)和缩小(Zoom out)。

3. 按缩放(Zoom)方式图标,结束缩放(Zoom)方式。

4. 恢复前一个视窗,可按图标。

使用三键鼠标取景(Panning)

为了使用三键鼠标(Mouse),将光标放在新的视图区域的中心,不要移动鼠标,按鼠标的中间键。使用三键鼠标(Mouse),您不需要在缩放(Zoom)方式进行取景(Pan)。

常用参数的设置

在以后的操作过程中,会涉及到一个具体的参数设置,这里只作一些常规的参数设置介绍。

点击Tools下拉菜单中Options选项,弹出选项(Options)对话框。

总体(Global)设置

1.视图(Display)选项中,Display选项为是否打开位图方式。Minimum display表示最小显示线宽,原理图中所有宽度小于该设定数值的线将以中心线方式显示,这一点一定要注意。Display Grid为显示栅格的设定。

2.备份(Automatic backups)的设置。在PADS软件中,系统会自动产生备份文件,当计算机由于突然断电或其它原因,致使文件没有及时保存。在重新打开计算机时,可以打开备份文件,备件文件一般是关机前几分钟内的文件,这样就不会因意外丢失太多的操作。

在这里设置备份的时间隔(Interval),备份文件数量(Number of)。

点击Backup File按钮,出现对话框

在此对话框中可设定保存备份文件的路径和名称。

3.光标的设置

光标风格(Style)选项中点击下拉按钮,有小十字(Samll cross)光标,大十字(Large cross)光标,满屏(Full cross)光标,可按自已需求选择相应的形式,斜交(Diagonal),选择Diagonal,视窗中的光标将以斜交形式表现。

4.Text Encoding 选项中选择输入文本时字体格式,如果您需要输入中文字体,可以在此选择中文简体“Chinese Simplify”。

设计(Design)设置

1.设计栅格(Grids)前面有介绍这里不再重述。

2.参数(Parameters)的设置:结点(Tie Dot)设置结点的大小,总线拐角长度(Bus Angle)设置总线的拐角处拐角的长度;Preserve Ref Des on paste 为在复制元件后粘贴元件时是否保留原元件名;Allow Floating Connection 为是否允许存在浮动连接。

3.页面设置(Sheet):纸张(Size)类型;页面(Sheet)类型。

4.页间连接设置:Show Off-page Sheet Number为是否显示页连接网络所在的页面号,Separators为显示页号外框的符号类型,Numbers per Line代表每行显示的页码数量。

文字(Text)设置,主要针对具体文字的高度和宽度设置。

线宽(Line Widths)设置,主要针对具体的线做宽度的设置。

您已经完成了第一节教程的内容。

第二节 – 在PADS库中定义元件库

这一节介绍在PADS Logic的元件编辑器(Part Editor)内,定义库内元件类型(Part Type)的过程。

在这一节中,您将学到:

·关于PADS的元件类型(Part Type)。

·如何在PADS Logic的元件编辑器(Part Editor)中建立管脚封装(Pin Decal)。

·如何在PADS Logic的元件编辑器(Part Editor)中建立CAE封装(CAE Decal)。

·如何在元件编辑器(Part Editor),利用现有的元件建立新的元件类型(Part Type)。

预览第一节教程中完成的设计文件,在下面的练习中,我们将使用这个已经部分完成的原理图文件previewstart.sch。

打开previewstart.sch设计文件:

1.从工具条(Toolbar)中选择打开(Open)图标。

2.Save Old File Before Loading?提示出现后,选择No。

3.在文件打开(File Open)对话框内,双击名为previewstart.sch的文件。

PADS元件类型(Part Type)

在您将元件添加到原理图之前,它必须是PADS库中的一个已经存在的元件类型(Part Type)。元件类型(Part Type)应该由以下三种元件组成:

·在PADS Logic中,被称为逻辑符号或CAE封装(CAE Decal) 。

· PCB封装(PCB Decal),如DIP14 。

·电参数,如管脚号码和门的分配等。

下面是一个7404的PADS元件类型(Part Type):

元件类型(Part type)名字:7404

CAE封装(CAE Decal): INV

PCB封装(PCB Decal):DIP14

电参数:6个逻辑门(A到F),使用14个管脚中的12

个管脚,另有一个电源和一个地管脚。

您可以在PADS Logic或PADS-Layout中建立元件类型(Part Type),但是在

PADS Logic中仅仅能建立CAE封装(CAE Decal)、在PADS-Layout中仅仅能建立PCB封装(PCB Decal)。

建立管脚封装(Pin Decal)

管脚封装(Pin Decal)是一个二维线(2D line )符号,它代表管脚的逻辑功能。

进入管脚封装编辑器(Pin Decal Editor)

1. 通过选择工具/元件编辑器(Tools/Part Editor)进入元件编辑器(Part Editor)。

2.在元件编辑器(Part Editor)内, 选择文件/新建(File/New)。

3. 从编辑项目选择类型(Select Type of Editing Item)选择管脚封装(Pin

Decal),然后选择OK。

一旦您进入管脚封装编辑器(Pin Decal Editor),有几个字符标号和管脚封装(Pin Decal)原点标记将出现。各个字符标号放在与管脚有关的字符目标上。一旦您将这些标记放在管脚封装(Pin Decal)中,这些管脚目标字符将出现在CAE封装(CAE Decal)上。原点标记有两个用途,一是连线连接的点,另一个是移动或放置管脚封装(Pin Decal)的原点。

PNAME放在这里指示管脚或功能的名字,如A00、D01或VCC。

NETNAME放在这里指示当在原理图中显示时的网络名字标记。

#E放在这里指示管脚号码。

TYP和SWP放在这里指示管脚类型(Pin Type)和门交换值(Gate Swap Values)。

注意:管脚类型(Pin Types)和门可交换(Gate Swap)的值仅仅显示在CAE封装(CAE Decal)编辑器中,而在原理图中不显示。

定义封装(Decal)

现在您将定义一个简单的管脚封装(Pin Decal),它们由一个横线和一个圆组成。这是一个典型的逻辑非符号。

1. 从工具条(Toolbar)中选择封装编辑(Decal Editing)图标。

2.从封装编辑(Decal Editing)工具盒中选择建立2D线(Create 2D Line)图标。

3.按鼠标右键打开一个弹出菜单,然后选择路径(Path)方式。

4. 通过键入G20设置设计栅格(Design Grid)为20。

5. 将光标放在原点标记处,状态条(Status Bar)中X和Y的坐标将显示为零。

6. 按一下并松开鼠标左键,将开始画一根线。

7. 横向移动光标直到坐标指示为X160、Y0 (检查状态条(Status Bar)确认坐标值),连击鼠标左键完成这根线。

8. 按鼠标右键打开一个弹出菜单,然后选择圆(Circle)改变绘图方式。

9. 您必须通过键入S 180 0指示圆的中心点。

10. 为了定义一个圆,按鼠标左键并且将光标向中心点以外的方向移动一个设计栅格(Design Grid) (20 mils),再按鼠标左键完成这个圆。

11. 从工具条中选择移动方式(Move Mode)图标,放标记在PINNOT封装(Decal)图中。

保存管脚封装(Pin Decal)

为了保存管脚封装(Pin Decal)到库中:

1. 选择文件/另存为(File/Save As)。保存项目到库中(Save CAE Decal to

Library)对话框将出现。

2. 从下拉匡中选择\libraries\preview库。

3. 在项目名字(Name of Item)字符区域内用pinnot 替换New_Pin。

4. 选择OK。

PADS Logic保存管脚封装(Pin Decal),并且使PINNOT成为当前的管脚封装(Pin Decal)。

注意:如果已经存在了,则确认覆盖这个管脚封装(Pin Decal)。

您现在已经完成了管脚封装(Pin Decal)。在元件编辑器(Part Editor)中选择文件/退出元件编辑器(File/Exit Part Editor),退出到原理图编辑器中。

建立CAE封装(CAE Decal)

CAE封装(CAE Decal)是一个二维线(2D Line)符号,它代表了元件的逻辑功能。

在这个练习中,您将使用CAE封装向导(CAE Decal Wizard)以及其它的功能建立87C256的元件类型(Part Type)。

进入CAD封装编辑器(CAE Decal Editor):

通过选择工具/元件编辑器(Tools/Part Editor),进入PADS Logic的元件编

1.

辑器(Part Editor)。

2.在元件编辑器(Part Editor)中选择文件/新建(File/New)。

3.从对话框中选择CAD封装(CAE Decal),并且选择OK。

一旦您进入CAD封装编辑器(CAE Decal Editor),几个字符标记和一个CAE 封装(CAE Decal)原点标记将显示出来了。这些标记放在这里指示和CAE封装(Decal)有关的目标。一旦您将这些标记放在CAE封装(CAE Decal)中,这些标记将会出现在原理图中。原点标记作为在原理图中移动或放置CAE封装(CAE Decal)基准点使用。

REF是一个参考编号(Reference Designation)。

PART_TYPE是一个元件类型(Part Type)(如7404、74LS74等等)。

Free Label 1是显示元件类型(Part Type)的第一个属性。

Free Label 2是显示元件类型(Part Type)的另一个属性。

systemview使用方法

第一部分SystemView及其操作简介 美国ELANIX公司于1995年开始推出SystemView软件工具,最早的1.8版为16bit教学版,自1.9版开始升为32bit专业版,目前已推出了3.0版。SystemView是在Windows95/98环境下运行的用于系统仿真分析的软件工具,它为用户提供了一个完整的动态系统设计、仿真与分析的可视化软件环境,能进行模拟、数字、数模混合系统、线性和非线性系统的分析设计,可对线性系统进行拉氏变换和Z变换分析。 1.1 SystemView的基本特点 SystemView基本属于一个系统级工具平台,可进行包括数字信号处理(DSP)系统、模拟与数字通信系统、信号处理系统和控制系统的仿真分析,并配置了大量图符块(Token)库,用户很容易构造出所需要的仿真系统,只要调出有关图符块并设置好参数,完成图符块间的连线后运行仿真操作,最终以时域波形、眼图、功率谱、星座图和各类曲线形式给出系统的仿真分析结果。SystemView的库资源十分丰富,主要包括:含若干图符库的主库(Main Library)、通信库(Communications Library)、信号处理库(DSP Library)、逻辑库(Logic Library)、射频/模拟库(RF Analog Library)和用户代码库(User Code Library)。 1.2 SystemView系统视窗 1.2.1 主菜单功能 进入SystemView后,屏幕上首先出现该工具的系统视窗,如图1-2-1所示。 系统视窗最上边一行为主菜单栏,包括:文件(File)、编辑(Edit)、参数优选(Preferences)、视窗观察(View)、便笺(NotePads)、连接(Connetions)、编译器(Compiler)、系统(System)、图符块(Tokens)、工具(Tools)和帮助(Help)共11项功能菜单。与最初的SystemView1.8相比,SystemView3.0的操作界面和对话框布局有所改变。 执行菜单命令操作较简单,例如,用户需要清除系统时,可单击“File”菜单,出现一个下拉菜单,单击其中的“Newsystem”工具条即可。为说明问题简单起见,将上述操作命令记作:File>>Newsystem,以下类同。各菜单下的工具条及其功能如下表所示: 表1-2-1 SystemView3.0各菜单下的工具条及其功能 菜单工具条命令各工具条的功能简述 File菜单 File>>Newsystem 清除当前系统 File>>Open Recent System 打开最新的SystemView文件 File>>Open Existing System 打开已存在的SystemView文件 File>>Open System in Safe Mode 以安全模式打开系统 File>>Save System 用已存在的文件名存储当前系统内容 File>> Save System As 将当前系统内容另存为一个文件 File>> Save Selected Metasystem 存储选择的亚系统文件 File>>System File Information 系统文件信息 File>>Print System: Text Tokens 打印屏幕内容,图符块用文字代替 File>>Print System: Symbolic Tokens 如实打印屏幕内容,包括图符块 File>>Print System Summary 打印系统摘要,即图符块表 图1-2-1 系统视窗 1

DC综合全过程及使用的命令

DC综合全过程及使用的命令 DC的初始化文件.synopsys.dc.setup,该文件是隐藏文件,需要用ls –a显示 读取文件 DC支持多种硬件描述格式,.db .v .vhd等 对于dcsh工作模式来说,读取不同的文件格式需要不同的参数 Read -format Verilog[db\vhdl ect] file //dcsh工作模式 对于tcl工作模式来说,读取不同文件格式使用不同的命令。

Read_db file.db //tcl工作模式读取db格式 Read_verilog file.v //tcl工作模式读取verilog格式 Read_vhdl file.v //tcl工作模式读取vhdl格式 读取源程序的另外一种方式是配合使用analyzer命令和elaborate命令; Analyzer是分析HDL的源程序并将分析产生的中间文件存于work(用户可以自己指定)的目录下 Elaborate则在产生的中间文件中生成verilog的模块或者VHDL的实体 缺省情况下,elaborate读取的是work目录中的文件 当读取完所要综合的模块后,需要使用link命令将读到DC存储区中的模块或实体连接起来 注意:如果在使用link命令后,出现unresolved design reference的警告信息,需要重新读取该模块,或者在.synopsys_dc.setup 文件中添加link_library,告诉DC到库中去找这些模块,同时还要注意search_path中的路径是否指向该模块或单元电路所在的目录 Link命令执行后,DC就将模块按照RTL级或者门级的描述将电路连接起来,之后的各种限制条件就可以对该电路的顶层模块施加 DC限制条件施加的对象 针对以下电路成分:输入输出端口、模块、子模块的实例化、单元电路、连线及电路的引脚。在使用DC命令的时候,不要使用模糊的对象,例如,如下面的电路: Set_load 3 Clk 由于在电路中含有名称为CLK的pin、port和net,因此,DC无法知道该处的load是施加在哪个对象上,注意到一般连线会驱动负载,该命令就改为: Set_load 3 [get_nets Clk] TCL mode Set_load 3 find(net,”Clk”)dc_shell mode 路径的划分与合法路径 DC综合的时候是基于路径的优化,对于DC来说,合法的路径必须满足以下条件 路径的起点为输入端口或者是触发器的数据端 路径终点为输出端口或者是触发器的时钟端 DC设定的限制条件的值都是无单位的,这些单位都是由工艺库中给定的 可以用report_lib lib_name来查看所有在工艺库中设定的各个参数的单位 定义电路的工作环境和综合环境

SystemView及其操作简介

SystemView及其操作简介 美国ELANIX公司于1995年开始推出SystemView软件工具,最早的1.8版为16bit教学版,自1.9版开始升为32bit专业版,目前我们见到的是4.5版。SystemView是在Windows95/98环境下运行的用于系统仿真分析的软件工具,它为用户提供了一个完整的动态系统设计、仿真与分析的可视化系统软件环境,能进行模拟、数字、数模混合系统、线性和非线性系统的分析设计,可对线性系统进行拉氏变换和Z变换分析。 一、SystemView的基本特点 SystemView基本属于一个系统级工具平台,可进行包括数字信号处理(DSP)系统、模拟与数字通信系统、信号处理系统和控制系统的仿真,并配置了大量图符块(Token)库,用户很容易构造出所需要的仿真系统,只要调出有关图符块并设置好参数,完成图符块间的连线后,运行仿真操作,最终以时域波形、眼图、功率谱、星座图和各类曲线形式给出系统的仿真分析结果。SystemView的库资源十分丰富,主要包括:含有若干图符库的主库(MainLibrary)、通信库(Communications Library)、信号处理库(DSP Library)、逻辑库(LogicLibrary)、射频/模拟库(RF Analog Library)、Matlab连接库(M-Link Library)和用户代码库(Costum Library)。 二、SystemView系统视窗 1、主菜单功能 图1 系统视窗 遵循以下步骤进入SystemView系统视窗: (1)双击SystemView图标,开始启动系统。

(2)首先会出现SystemView License Manager窗口,可用来选择附加库。本实验中选择Selectall再左键单击OK结束选择。 (3)然后会出现Recent SystemView Files窗口,可用来方便的选择所需打开的文件。在本实验中,左键单击Close结束选择。 完成以上操作,即可进入SystemView系统视窗。如图1所示。 系统视窗最上边一行为主菜单栏,包括:文件(File)、编辑(Edit)、参数优选(Preferences)、视窗观察(View)、便签(NotePads)、连接(Connections)、编译器(Compiler)、系统(System)、图符块(Tokens)、工具(Tool)和帮助(Help)等11项功能菜单。 执行菜单命令操作较简单,例如,用户需要清除系统时,可单击“File”菜单,出现一个下拉菜单,单击其中的“Newsystem”工具条即可。为说明问题简单起见,将上述操作命令记作:File>>Newsystem,以下类同。各菜单下的工具条及其功能如下表所示:

synopsys DC10.03图文安装配置详解

喾林原创 Synopsys DC10.03安装配置 1、需准备安装包: 1)、Synopsys DC(design compiler)安装包 2)、SCL 安装包(注:此包为synopsys license 管理)。 3)、Synopsys 图像安装工具:installer2.0及以上均可。 (注:图形安装操作简单,不易出错,故采用图形安装界面) 4)、Synopsys license 制作工具( EFA LicGen 0.4b 和Synopsys SSS Feature Keygen )。 2、开始安装DC : 1)、启动图形安装界面 于linux 系统下解压installer2.0包(在windows 下解压文件易损坏)。解压后运行setup.sh 得如图(一)所示界面。 图 (一)

喾林原创点击“start ”有如图(二)所示界面开始安装。在“Source ”栏选中DC 安装文件所在上层目录。“Done ”后“Next ”(此次“Next ”时间较长,耐心等待)。 图 (二) 之后可一直“NEXT ”到如图(三)所示。 图 (三)

在该界面勾选linux选项即可,继续下一步到如图(四)所示。选择安装路径后继续下一步直到结束。 喾林原创 图(四) 至此DC安装结束。 3、开始安装SCL: 此安装与DC安装步骤一直,几乎没有差别,唯一不同的就是安装路径不同。 4、license的制作: License的制作是在windows下制作的。

1)、打开EFA LicGen 0.4b文件夹运行LicGen.exe程序出现如图(五)所示界面。 喾林原创 图(五) 点击“OPEN”选择Synopsys.lpd文件,“打开”。回到图(五)所示界面。勾选上Custon、Use Daemon及最后一个Custon。

systemview简介及实例

System View 仿真软件简介及实例

目录 第一部分S YSTEM V IEW简介 (2) 1.1 SystemView的基本特点 (2) 1.2 SystemView各专业库简介 (2) 1.3 System View的基本操作 (5) 第二部分通信原理实验 (7) 2.1 标准调幅 (7) 2.2 双边带调制(DSB) (10) 2.3 单边带调制(SSB) (12) 2.4 窄带角度调制(NBFM、NBPM) (14) 2.5 幅移键控ASK (17)

第一部分SystemView简介 SystemView是由美国ELANIX公司推出的基于PC的系统设计和仿真分析的软件工具,它为用户提供了一个完整的开发设计数字信号处理(DSP)系统,通信系统,控制系统以及构造通用数字系统模型的可视化软件环境。 1.1 SystemView的基本特点 1.动态系统设计与仿真 (1)多速率系统和并行系统: SYSTEMVIEW允许合并多种数据速率输入系统,简化 FIR FILTER的执行。 (2)设计的组织结构图: 通过使用METASYSTEM(子系统)对象的无限制分层结 构,SYSTEMVIEW能很容易地建立复杂的系统。 (3)SYSTEMVIEW的功能块: SYSTEMVIEW的图标库包括几百种信号源,接收端, 操作符和功能块,提供从DSP,通讯信号处理,控制直到构造通用数学模型的应用 使用。信号源和接收端图标允许在SYSTEMVIEW内部生成和分析信号以及供 外部处理的各种文件格式的输入/输出数据。 (4)广泛的滤波和线性系统设计: SYSTEMVIEW的操作符库包含一个功能强大的 很容易使用图形模板设计模拟和数字以及离散和连续时间系统的环境,还包含 大量的FIR/IIR滤波类型和FFT类型。 2.信号分析和块处理 SYSTEMVIEW分析窗口是一个能够提供系统波形详细检查的交互式可视环境。分析窗口还提供一个完成系统仿真生成数据的先进的块处理操作的接收端计算器。 接收端计算器块处理功能:应用DSP窗口,余切,自动关联,平均值,复杂的FFT,常量窗口,卷积,余弦,交叉关联,习惯显示,十进制,微分,除窗口,眼模式,FUNCTION SCALE,柱状图,积分,对数基底,数量,相,MAX,MIN,乘波形,乘窗口,非,覆盖图,覆盖统计,解相,谱,分布图,正弦,平滑,谱密度,平方,平方根,减窗口,和波形,和窗口,正切,层叠,窗口常数。 1.2 SystemView各专业库简介 SystemView的环境包括一套可选的用于增加核心库功能以满足特殊应用的库,包括通信库、DSP库、射频/模拟库和逻辑库,以及可通过用户代码库来加载的其他一些扩展库。

synopsys简易教程

以.cshrc 及用户根目录下的.synopsys_vss.setup .synopsys_dc.setup 已经配置为前提)1.创建工作目录; 2.编写vhdl源程序; 3.编写.synopsys_vss.setup 和.synopsys_dc.setup文件; 4.编译vhdl源程序; 5.运行vhdldbx 仿真器; 6.运行synopsys的综合器; 7.完成综合后的门级仿真; 以一个一位加法器为例,进行具体说明(用户界面为CDE): 1)创建adder 目录: 可以在资源管理器中完成,也可以在unix环境下完成:mkdir adder; 2)在adder目录下创建work目录; 3)编写.synopsys_vss.setup文件并存入adder目录;.synopsys_vss.setup的内容如下:WORK >DEFAULT DEFAULT:work TIMEBASE = NS 4)编写一位加法器的源程序(adder1.vhd)并存入adder目录下: library ieee; use ieee.std_logic_1164.all; entity adder1 is port(din1 : in std_logic; din2 : in std_logic; cin : in std_logic; dout: out std_logic; cout: out std_logic); end adder1; architecture rtl of adder1 is begin dout <= din1 xor din2 xor cin; cout <= (din1 and din2) or (cin and (din1 xor din2)); end rtl; 5)编写一位加法器的测试基准(即test_bench)并存入adder目录下:(tb_adder1.vhd)library ieee; use ieee.std_logic_1164.all; entity tb_adder1 is end tb_adder1; architecture rtl of tb_adder1 is component adder1 is port(din1 : in std_logic; din2 : in std_logic; cin : in std_logic;

启动dc_shell工具的.synopsys.setup文档

设置启动dc_shell-t工具的 .synopsys.setup文件 Author:周建伟 Company:西安邮电大学SOC组 Date:2013.10.30 摘要:若你在读不进你的库,即在你的运行报告中总是有:warning:Can?t read link_library file …your_library.db?,这边文档会对你有一定的帮助

逻辑综合环境 启动文件 启动文件用来指定综合工具所需要的一些初始化信息。DC使用名为“.synopsys_dc.setup”的启动文件(位置:inst_dir/admin/setup/.synopsys_dc.setup)。启动时,DC会以下述顺序搜索并装载相应目录下的启动文件: DC安装目录($DC_PATH/admin/setup) 用户主目录 工具启动目录 注意:后装载的启动文件中的设置将覆盖先装载的启动文件中的相同设置 本文档重在讲述怎么设置工具启动目录 1、把inst_dir/admin/setup/.synopsys_dc.setup文件拷贝到你DC脚本目录下(也就是和你 脚本在同个目录下) 2、在.synopsys_dc.setup文件的第92行,即set link_force_case “check_reference”命令下 修改内容如下: A、set lib_path /library/smic18/feview~2/version2.2(注:lib_path为你smic18库安装 目录,不同于DC安装目录) B、set link_library [list * $lib_path/STD/Synopsys/smic18_ss.db \ $lib_path/IO/Synopsys/smic18IO_line_ss.db \ $lib_path/IO/Synopsys/smic18IO_stagger_ss.db ] C、set search_path [list . ${synopsys_root}/libraries/syn ${synopsys_root}/dw/syn_ver \ ${synopsys_root}/dw/sim_ver \ $lib_path/STD/Synopsys $lib_path/IO/Synopsys ] D、set target_library [list $lib_path/STD/Synopsys/smic18_ss.db \ $lib_path/IO/Synopsys/smic18IO_line_ss.db \ $lib_path/IO/Synopsys/smic18IO_stagger_ss.db ] E、set synthetic_library “” set command_log_file “./command.log” set designer “zjw” set company “soc of xupt”

Systemview软件仿真实验指导书

Systemview软件仿真实验 Systemview动态系统仿真软件是为方便大家轻松的利用计算机作为工具,以实现设计和仿真工作。它特别适合于无线电话(GSM,CDMA,FDMA,TDMA)和无绳电话,寻呼,机和调制解调器与卫星通信(GPS,DBS,LEOS)设计。能够仿真( c,4x c等) 3x DSP结构,进行各种时域和频域分析和谱分析。对射频/模拟电路(混合器,放大器,RLC电路和运放电路)进行理论分析和失真分析。它有大量可选择的库允许你可以有选择的增加通讯,逻辑,DSP和RF/模拟功能。它可以使用熟悉的windows 约定和工具与图符一起快速方便地分析复杂的动态系统。下面大家可以清楚地了解systemview系统如何方便地辅助您的工作。让我们首先来看一下它的各种窗口: —systemview系统窗 systemview系统设计窗口如下: 图表1系统窗 1 第一行《菜单栏》有几个下拉式菜单,通过这些菜单可以访

问重要的systemvie功能包括File, Edit, Preference, View, Notepads, Connections,Complier, System, Tokens, Help.用 中每个菜单都会下拉显示若干选项。假如我们需要打开一个文件,则只需要用鼠标点中open.....既可,系统会显示对话框提示输入文件名或选择文件名。 2 第二行《工具栏》是由图标按扭组成的动作条: 图标1 清屏幕图标2 消元件 图标3 断线图标4连线 图标5 复制图标6 注释 图标7中止图标8运行 图标9 时间窗图标10分析窗 图标11 打开子系统图标12 创建子系统 图标13 跟轨迹图标14波特图 图标15 画面重画图标16 图标翻转在systemview系统中各动作的操作顺序为: 1)用鼠表单击动作按扭 2)单击要执行动作的图符 3 左侧竖栏为《元件库》,将在后面作详细介绍。 二Systemview 系统分析 分析窗是观察用户数据的基本载体,在系统设计窗口中单击分析按扭(图标是示波器)既可访问分析窗口。在分析窗口有多种选项可以增强显示的灵活性和用途。分析窗显示如下:

systemview使用方法_0

---------------------------------------------------------------最新资料推荐------------------------------------------------------ systemview使用方法 第 1 页 SystemView 美国 ELANIX 公司于 1995 年开始推出 SystemView 软件工具,最早的 1.8 版为 16bit 教学版,自 1.9 版开始升为 32bit 专业版,目前已推出了 3.0 版。 SystemView 是在 Windows95/98环境下运行的用于系统仿真分析的软件工具,它为用户提供了一个完整的动态系统设计、仿真与分析的可视化软件环境,能进行模拟、数字、数模混合系统、线性和非线性系统的分析设计,可对线性系统进行拉氏变换和 Z 变换分析。 1 .1 SystemView的基本特点 SystemView 基本属于一个系统级工具平台,可进行包括数字信号处理(DSP)系统、模拟与数字通信系统、信号处理系统和控制系统的仿真分析,并配置了大量图符块(Token)库,用户很容易构造出所需要的仿真系统,只要调出有关图符块并设置好参数,完成图符块间的连线后运行仿真操作,最终以时域波形、眼图、功率谱、星座图和各类曲线形式给出系统的仿真分析结果。 SystemView 的库资源十分丰富,主要包括: 含若干图符库的主库(Main Library)、通信库(Communications Library)、信号处理库(DSP Library)、逻辑库(Logic Library)、射频/模拟库(RF Analog Library)和用户代码库(User Code 1 / 3

SystemView基本介绍

SystemView工具条图标介绍 返回上级菜 工具条包括许多常用功能的图标快捷键,当鼠标移动到每个快捷键图标上时,程序会自动提示能键的作用,各功能键的作用如下: 切换图符库: 用于将图符栏在基本图符库与扩展图符库之间来回切换。点击三角形则可入用户自定义库。 打开已有系统: 将以前编辑好的系统调入设计工作区,现有设计区将被新的系统替代,入新的系统以前,软件提示将目前设计区内容存盘。 保存当前设计区: 将当前设计工作区内容存盘。学习版无此功能。必须升级到专业版功能才能有效。 将当前设计工作区的图符及连接输出到打印机。学习版无此功能 清除工作区: 用于清除设计窗口中的系统。如果用户没有保存当前系统,会弹出一个系统的对话框。 删除按钮: 用于删除设计窗口中的图符或图符组。用鼠标单击该按钮再单击要删除的即可删除该图符 断开图符间连接: 单击此按钮后,分别单击需要拆除它们之间连接的两个图符,两图间的连线就会消失。注意必须按信号流向的先后次序按两个图符。 连接按钮: 单击此按钮,再单击需要连接的两个图符,带有方向指示的连线就会出现图符之间,连线方向由第一个图符指向第二个,因此要注意信号的流向。 复制按钮: 单击此按钮,再单击要复制的图符则出现一个与原图符完全相同的图符,符与原图符具有相同的参数值,并被放置在与原图符位置相差半个网格的位置上。 图符翻转: 单击此按钮,再单击需要翻转的图符,该图符的连线方向就会翻转180度,线也会随之改变,但是图符之间的连接关系并不改变。此功能在调整设计区图符位置时用。主要用于美化设计区图符的分布和连线,避免线路过多交叉。 创建便笺: 用于在设计区中插入一个空白便笺框,用户可以输入文字、移动或重新编便笺。 创建子系统: 用于把所选择的图符组创建成MetaSystem。单击此按钮后,按住鼠标左并拖拽鼠标可以把选择框内的一组图符创建为子系统MetaSystem,并出现一个子系统替代原来的图符。 显示子系统: 用于观察和编辑嵌入在用户系统中的MetaSystem结构。单击此按钮,然再单击感兴趣的MetaSystem图符,一个新窗口就会出现并显示出MetaSystem。学习版

SystemView实验报告(全)

昆明理工大学(SystemView)实验报告 实验名称:SystemView 实验时间:20013 年9 月8日 专业:11电信指导教师:文斯 姓名:张鉴 学号:201111102210 成绩:教师签名:文斯 第一章SystemView的安装与操作 一实验目的 1、了解和熟悉Systemview 软件的基本使用; 2、初步学习Systemview软件的图符库,能够构建简单系统。 二实验内容 1、熟悉软件的工作界面; 2、初步了解Systemview软件的图符库,并设定系统定时窗口; 3、设计一些简单系统,观察信号频谱与输出信号波形。 三实验过程及结果 1.1试用频率分别为f1=200HZ、f2=2000HZ的两个正弦信号源,合成一调制 信号y(t)=5sin(2πf1t)*cos(2πf2t),观察其频谱与输出信号波形。注意根据信号的频率选择适当的系统采样数率。 画图过程: (1)设置系统定时,单击按钮,设置采样率20000Hz,采样点数512;

(2)定义两个幅度分别为1V,5V,频率分别为200Hz,2000Hz的正弦和余弦信号源; (3)拖出乘法器及接收图符; (4)连线; (5)运行并分析单击按钮和。 仿真电路图: 波形图如下: 频谱图如下:

结果分析: 频率为200HZ 的信号与频率为2000HZ的信号f2相乘,相当于在频域内卷积,卷积结果为两个频率想加减,实现频谱的搬移,形成1800HZ和2200HZ的信号,因信号最高频率为2000HZ所以采用5000HZ的采样数率。 1.2将一正弦信号与高斯噪声相加后观察输出波形及其频谱。由小到大改变高斯噪声的功率,重新观察输出波形及其频谱。 画图过程: (1)设置系统定时,单击按钮,设置采样率100Hz,采样点数128; (2)定义一个幅度为1V,频率为100Hz正弦信号源和一个高斯噪声; (3)拖出加法器及接收图符; (4)连线; (5)运行并分析单击按钮和; (6)在分析窗口下单击进入频谱分析窗口,再单击点OK分析频谱。 仿真电路图:

DC基本问题

1.14. translation这一步是用什么DC命令来实现的? 我们知道,DC综合过程包括3个步骤:translation + logic optimization + mapping transition 对应命令为 read_verilog(read_vhdl等) logic optimization 和 mapping 对应于 compile 1.15. 逻辑优化和映射(logic optimization + mapping)又是用什么DC命令来实现的? logic optimization 和 mapping 均在compile命令完成,但是可以指定使用特殊的优化方法:structural 和flatten,建议大家在synthesis时同时生成structural 和flatten格式的netlist,然后打开看看到底有什么不同之处。 1.17. 基于路径的综合的意思是什么? 路径(path),是DC中的一个重要概念。它包括4种路径方式: 1 input到FF的D端。 2 FF的clk到另一个FF的D端。 3 FF的clk到输出Q。 4 input到output。 基于路径的综合就是对这四种路径进行加约束,综合电路以满足这些约束条件。 1.24 reference 是指什么? 和cell 有什么区别? 当存在一个模块被多次例化,那么该模块就称为reference 1.25 如何读入一个design? 使用analyze + elaborate 或者 read_verilog、read -f verilog、 read_vhdl、read_file 命令。 1.26 analyze+ elaborate 和 read 命令有什么区别? read_file 是可以读取任何synopsys支持格式的;analyze和eloborate 只支持verilog和VHDL两个格式,但是他们支持在中间过程中加入参数而且以便以后可以加快读取过程。

SystemView的基本库使用说明

SystemView 的基本库使用说明 基本库 SystemView 的基本库包括信源库、算子库、函数库、信号接收器库等,它为该系统仿真提供了最基本的工具。 1、 SystemView 为我们提供了16种信号源,可以用它来产生任意信号 2、 功能强大的算子库多达31种算子,可以满足您所有运算的要求 3、 32种函数尽显函数库的强大库容! 4、 12种信号接收方式任你挑选,要做任何分析都难不倒它 扩展功能库 扩展功能库提供可选择的能够增加核心库功能的用于特殊应用的库。它允许通信、DSP 、射频/模拟和逻辑应用。 1、 包含有大量的通信系统模块的通信库,是快速设计和仿真现代通信系统的有力工具。这些模块从纠错编码、调制解调、到各种信道模型一应俱全。 2、 DSP 库能够在你将要运行DSP 芯片上仿真DSP 系统。该库支持大多DSP 芯片的算法模式。例如乘法器、加法器、除法器和反相器的图标代表真正的DSP 算法操 作符。还包括高级处理工具:混合的Radix FFT 、FIR 和IIR 滤波器以及块传输等。 3、 逻辑运算自然离不开逻辑库了,它包括象与非门这样的通用器件的图标、74系列器件功能图标及用户自己的图标等。 4、 射频/模拟库支持用于射频设计的关键的电子组件,例如:混合器、放大器和功率分配器等。 扩展用户库 扩展的用户库包括有Elanix 公司自己提供的扩展通信库2、IS95/CDMA 、数字视频广播DVB 。另外其合作伙伴Entegra 公司也提供了自适应滤波器库 (https://www.360docs.net/doc/a34253799.html,)。有能力的读者也可自己用C/C++语言自编所需的库,后加入即可。 通信库2: 扩展的通信库2主要对原来的通信库加了时分复用、OFDM 调制解调 、QAM 编码与调制解调、卷积码收缩编解码、GOLD 码以及各种衰落信道等功能。4.5版中,通信库2已被合并到基本通信库中。 IS95库:IS95库为设计CDMA 和个人通信系统提供了一个快捷的工具。除了产生CDMA 所需的信号发生器模型、调制解调信号模型外,还设计了复合IS95建议的CDMA 所有信道模型,可按两种速率工作。 数字视频广播库(DVB) Systemview 信号源库 图符 名称 参数 功能描述 扫频信号 (Freq sweep) 1.幅度 2.起始频率 fstart 3.停止频率fstop 4.扫描频率(秒) 5.相位 输出扫频正弦信号:y(t)=Asin(2PIfstart+PI R(tmod(T))2+*) R=(fstop-fstart)/T PSK 载波 (PSK carrier) 1.幅度 2.频率(HZ) 3.载波相位(deg) 4.符号速率 5.符号产生一个u 率相位调制载波信号y(t)=sin(2PIfct+*T(t)+ *) 其中*T(t)是具有u 率相位值的PN 序列(0-2PI),T 是设置的 符号周期(符号速率的倒数),*是载波相位。

Encounter使用入门教程

Encounter使用入门教程 本教程介绍一下自动布局布线工具Encounter的使用知识,开始以一个简单的十进制计数器版图的自动实现为例子,之后介绍包含block模块的复杂的版图自动实现。 在Designer Compiler使用入门教程中,笔者设计了一个十进制计数器,并经过Design Compiler对其进行综合后获得了门级综合网表文件counter.sv以及约束文件counter.sdc,根据这两个文件,我们就可以使用SOC Encounter实现十进制计数器的物理版图设计了。首先,我们要准备使用Encounter进行版图自动设计时所需要的数据: 时序库文件:fast.lib,slow.lib,tpz973gwc.lib,tpz973gbc.lib 物理库文件:tsmc18_6lm_cic.lef,tpz973g_5lm_cic.lef,tsmc18_6lm_antenna_cic.lef 门级网表文件:pad_counter.sv 时序约束文件:pad_counter.sdc IO位置放置文件:pad_counter.io //在设计导入Encounter中指定PAD的放置位置文件,不是必须文件 还有其它一些文件在后面用到时进行介绍。 一、网表中添加PAD、编写IO Assignment File 这里,pad_counter.sv是加入PAD后综合得到的门级网表。工程项目中设计制作完成后的芯片要进行封装,PAD就是芯片在封装时连接封装引线的地方。一般信号输入/输出PAD即I/O PAD要在综合前添加进入网表中,电源电压PAD 可以在综合时添加也可以在综合后添加。接下来就先介绍一下如何在网表中加入PAD,其实给网表加入PAD就是一般的module例化,和Verilog中一般的module 模块例化是一样的。 这里介绍在综合时给设计中加入I/O PAD。十进制计数器的Verilog源程序如下:module Cnt10(reset_n,clk,in_ena,cnt,carry_ena); input clk; input reset_n; input in_ena; output [3:0] cnt; output carry_ena; reg [3:0] cnt; reg carry_ena; always @(posedge clk or negedge reset_n) begin if(!reset_n) cnt<=4'b0; else if(in_ena && cnt==4'd10)

DC使用全书(Design Compiler)

DC学习----第一章基本概念 作者:未知时间:2010-08-15 15:02:50 来自:网络转载 1.1 启动文件 启动文件用来指定综合工具所需要的一些初始化信息。DC使用名为“.synopsys_dc.setup”的启动文件,启动时,DC会以下述顺序搜索并装载相应目录下的启动文件: 1)、DC的安装目录; 2)、用户的home目录; 3)、当前启动目录。 注意:后装载的启动文件中的设置将覆盖先装载的启动文件中的相同设置。 下面是一个DC启动文件的实例,它包含了几乎所有重要的设置,下文将结合该实例解释启动文件中各项设置的具体含义。 例1-1(一个DC启动文件): search_path= search_path + {“.”, synopsys_root + “/dw/sim_ver” } search_path= search_path + { “~/risc32/synthesis/libraries” } target_library={ tcb773stc.db } synthetic_library={dw_foundation.sldb} link_library = { “*”, dw_foundation.sldb, tcb773stc.db } symbol_library = { tcb773s.sdb } synlib_wait_for_design_license = {"DesignWare-Foundation"} alias rt “report_timing” designer= XXXXX company= “ASIC Lab, Fudan Univ.” search_path指定了综合工具的搜索路径。 target_library为综合的目标库,它一般是由生产线提供的工艺相关的库。 synthetic_library是综合库,它包含了一些可综合的与工艺无关的IP。dw_foundation.sldb是Synopsys提供的名为Design Ware的综合库,它包含了基本的算术运算逻辑、控制逻辑、可综合存储器等IP,在综合是调用这些IP有助于提高电路性能和减少综合时间。 link_library是链接库,它是DC在解释综合后网表时用来参考的库。一般情况下,它和目标库相同;当使用综合库时,需要将该综合库加入链接库列表中。 symbol_library为指定的符号库。 synlib_wait_for_design_license用来获得DesignWare-Foudation的许可(license)。 alias语句与UNIX相似,它定义了命令的简称。 最后的designer和company项则表明了设计者和所在公司。 另外,在启动文件中用符号“/*” 和“*/” 进行注释。 1.2 设计实体 在DC中,总共有8种设计实体: λ设计(Design):一种能完成一定逻辑功能的电路。设计中可以包含下一层的子设计。 单元(Cell):设计中包含的子设计的实例。λ λ参考(Reference):单元的参考对象,即单元是参考的实例。 端口(Port):设计的基本输入输出口。λ λ管脚(Pin):单元的输入输出口。 连线(Net):端口间及管脚间的互连信号。λ λ时钟(Clock):作为时钟信号源的管脚或端口。

SystemView系统课程设计

目录 1 SystemView简单介绍及其操作简介 (1) 1.1 SystemView的基本特点 (1) 1.2 SystemView系统视图 (1) 1.2.1 主菜单功能图 (1) 1.2.2 快捷功能按钮 (4) 1.2.3 图符库选择按钮 (4) 2抽样定理的仿真电路的设计与分析 (5) 2.1 抽样定理的实验目的 (5) 2.2 抽样定理的实验内容 (5) 2.3 低通信号采样与恢复原理图 (5) 2.4 SystemView仿真系统原理图 (5) 2.5实验步骤 (6) 2.6 实验结果 (6) 3 数字基带信号传输 (9) 3.1数字基带信号传输的实验目的 (9) 3.2数字基带信号传输的实验内容 (9) 3.3 基带信号传输系统模型 (9) 3.4 数字基带信号传播 (10) 3.5 实验步骤 (10) 3.6试验结果 (10) 3.7 实验总结 (11) 参考文献 (12) 4. 心得体会 (13)

1 SystemView 简单介绍及其操作简介 1.1 SystemView 的基本特点 SystemView 基本属于一个系统级工具平台,可进行包括数字信号处理(DSP )系统、模拟与数字通信系统、信号处理系统和控制系统的仿真分析,并配置了大量图符块(Token )库,用户很容易构造出所需要的仿真系统,只要调出有关图符块并设置好参数,完成图符块间的连线后运行仿真操作,最终以时域波形、眼图、功率谱、星座图和各类曲线形式给出系统的仿真分析结果。SystemView 的库资源十分丰富,主要包括:含若干图符库的主库(Main Library )、通信库(Communications Library )、信号处理库(DSP Library )、逻辑库(Logic Library )、射频/模拟库(RF Analog Library )和用户代码库(User Code Library )。 1.2 SystemView 系统视图 1. 2.1 主菜单功能图 进入SystemView 后,屏幕上首先出现该工具的系统视窗,如图1-2-1所示。 系统视窗最上边一行为主菜单栏,包括:文件(File )、编辑(Edit )、参数优选(Preferences )、视窗观察(View )、便笺(NotePads )、连接(Connetions )、编译器(Compiler )、系统(System )、图符块(Tokens )、工具(Tools )和帮助(Help )共11项功能菜单。与最初的SystemView 1.8相比, 图1-2-1 系统视窗

dc使用

DC使用说明 文件说明:在进行下面的演示时需要用到两个文件,一个是example1.v,它是描述一个电路的verilog代码,我们的目标就是用DC综合这个代码得到满足约束条件的电路网表;另一个是dc.scr,它是综合example1.v的脚本文件。这两个文件都在/home/student1000目录下,大家把它们拷贝到自己的目录下,以备使用。DC既可使用图形界面,也可不使用图形界面而直接运行脚本来综合电路。 一、DC图形界面的使用。 1.DC图形界面的启动 1.1 打开一个终端窗口,写入命令dv –db_mode,敲入回车。 则DC图形界面启动,如下图所示

红框处是DC的命令输入框,以下在图形界面上的操作都可以在命令输入框中输入相应的命令来完成。 选择Help----- Man Pages可以查看DC的联机帮助。相应指令:man。例:man man表示 查看man命令的帮助。man create_clock表示查看creat_clock命令的帮助。

2.设置库文件 选择File---- Setup 需要设置以下库文件,如下图。 相应指令: set search_path [list /tools/lib/smic25/feview_s/version1/STD/Synopsys \ /tools/lib/smic25/feview_s/version1/STD/Symbol/synopsys] set target_library { smic25_tt.db } set link_library { smic25_tt.db } set symbol_library { smic25.sdb }

中文DC文档

max_transition可以定义为上升或下降电阻和线网负载的乘积,除了工艺库中提供的,用户也可自己定义,而实际采用的是最严格的那个定义值。 相比较max——transition并不直接控制线网的实际电容,而max_capacitance作为直接控制电容的限制而提出的。但是其cost function是基于总的电容而不是瞬态时间。二者可以同时定义。没有违反约束的定义是:驱动pin或者是port的max capacitance大于或者是等于所有被驱动管的电容之和。 Max transition和max fanout 和max capacitance可以用来决定设计中的buffer个数。―――影响时间参数 优化约束―――对时间的约束优先于对面积的约束。在满足对面积的约束之前,会尽量的考虑满足对时间约束条件的满足。 对时间的约束―――-时序电路中通过对时钟的定义来达到,set input delay和set output delay。 ――――组合电路通过对set max delay和set min delay来设定点点之间的时间延迟,max delay通过设定set max delay和creat clock来达到; 而min delay通过设定set min delay和对保持时间的要求来达到。其中的使用set fix hold来固定保持时间。 优化的过程就是减少代价函数的过程――包括设计规则代价函数和优化代价函数。Max delay cost;min delay cost;max power cost;max area cost; 其中max delay cost是代价函数中具有最优权。 Max delay cost的计算:最差的违背和每个路径组的权重之积――worst violation;path group; 当设计不能满足时序单元的建立时间的要求的时候,违背就发生了。一般,把由一个时钟约束的路径组合成一个路径组,这样每个时钟都建立了一个单独的路径组,而剩余的路径组合成默认的路径组,如果在没有时钟的情况下,所有的路径都组合成一个默认的路径组。而综合总是针对路径进行的,所以很容易给每个路径定义其权重。如:max delay cost=1×1+1×2+1×3=6 min delay cost次重。与路径无关,是所有的最差的min delay违背之和,当实际的延迟时间小于设定的期望的延迟时间的时候,违背就发生了。如:min delay=1+2+3=6 max power是用于ECL电路中。 综合时候的时钟设定: 设定时钟树的时候,使用set dont touch network来设定时钟的dont touch参数值,防止 buffers。 Synopsys的STA不报告时序单元中针对时钟管脚的延迟(认为是理想状态-0)。如果时钟经过门单元的话,则不能认为时钟延迟是0,所以也要设定set clock skew-proagated选项来得到非零的时钟延迟,如果ASIC提供商已经定义了相对于时序单元管脚的潜在的时钟skew的上限的话,可以用set clock skew -uncertainty来定义skew。在设定完时钟之后,我们要使用set input delay和set output delay-option来设定输入输出端口的时间设定。用set multicycle path来设定复合时钟。

相关文档
最新文档