8路抢答器verilog语言程序

8路抢答器verilog语言程序
8路抢答器verilog语言程序

/****************************************************************************** **

Copyright(c) , All right reserved

Project name : 八路抢答器

File name : choose8_1.v

Author : THE C

Email : w990125@https://www.360docs.net/doc/a45277447.html,

============================================================================= Description : 八路抢答器

Called by : choose8_1.v

File tree : choose8_1.v

============================================================================= Revision History:

Date By Ver. Change Description

------------------------------------------------------------------------------ 2012-12-26 THE C 1.0 首次归档

******************************************************************************* */

`timescale 1ns/100ps

module choose8_1(

input clk,

input rst,

input [7:0] keyin,

output reg [2:0] sel,

output reg [7:0] display

);

reg [9:0] count;

reg clk_1hz,flag;

reg [4:0] t;

reg [3:0] disp;

reg [3:0] data;

always @ (negedge clk)

if (count<999)

count=count+1;

else

begin

count=0;

clk_1hz=~clk_1hz;

end

always @ (posedge clk or negedge rst)

begin

if (!rst)

begin

data <= 4'b1010;

flag <= 1'b0;

end

else

if (!flag && t)

case (keyin [7:0])

8'b00000001 : begin data<=4'b0001; flag<=1; end 8'b00000010 : begin data<=4'b0010; flag<=1; end 8'b00000100 : begin data<=4'b0011; flag<=1; end 8'b00001000 : begin data<=4'b0100; flag<=1; end 8'b00010000 : begin data<=4'b0101; flag<=1; end 8'b00100000 : begin data<=4'b0110; flag<=1; end 8'b01000000 : begin data<=4'b0111; flag<=1; end 8'b10000000 : begin data<=4'b1000; flag<=1; end default : begin data<=data; flag<=flag; end

endcase

else

;

end

always @ (posedge clk_1hz or negedge rst)

begin

if (!rst)

t<=30;

else

if (t>0 && !flag)

t<=t-1;

else

t<=t;

end

always @ (posedge clk or negedge rst)

begin

if (!rst)

sel [2:0] <= 3'b000;

else

begin

sel [2:0] <= sel[2:0]+3'b001;

end

end

always @ (*)

begin

case( sel[2:0] )

3'b000: disp [3:0] = t/10;

3'b001: disp [3:0] = t%10;

3'b010: disp [3:0] = 4'b1111;

3'b011: disp [3:0] = 4'b1010+clk_1hz;

3'b100: disp [3:0] = 4'b1010+clk_1hz;

3'b101: disp [3:0] = 4'b1010+clk_1hz;

3'b110: disp [3:0] = 4'b1111;

3'b111: disp [3:0] = data;

endcase

end

always @ (*)

begin

case( disp )

4'b0000: display [7:0]=8'b01111110; //0

4'b0001: display [7:0]=8'b00110000; //1

4'b0010: display [7:0]=8'b01101101; //2

4'b0011: display [7:0]=8'b01111001; //3

4'b0100: display [7:0]=8'b00110011; //4

4'b0101: display [7:0]=8'b01011011; //5

4'b0110: display [7:0]=8'b01011111; //6

4'b0111: display [7:0]=8'b01110000; //7

4'b1000: display [7:0]=8'b01111111; //8

4'b1001: display [7:0]=8'b01111011; //9

4'b1010: display [7:0]=8'b00000001; //-

default: display [7:0]=8'b00000000; //全灭 endcase

end

endmodule

八路抢答器设计(附源程序)

烟台大学单片机课程设计说明书课题:八路抢答器 学生姓名: 学号: 院系:机电汽车工程学院 专业:机械设计制造及其自动化 指导老师: 同组成员: 组长: 2012 年06 月07 日 目录

1 概述 (2) 2设计任务 (2) 3 系统总体方案 (3) 4 硬件设计 (4) 控制系统所需硬件 (4) 硬件原理介绍 (4) 5 软件设计 (7) 软件总体设计 (7) 程序流程图 (8) 6 Proteus软件仿真 (12) Keil软件 (12) 在Proteus软件 (12) 7小结 (14) 8心得体会 (15) 附1:源程序代码 (16) 附2:参考文献 (24) 1 .概述

8路智能抢答器的设计 现如今,各种智力知识竞赛已经成为人们的一种娱乐形式,人们在答题的过程中不仅可以享受到乐趣,还可以学到一些科学知识和生活常识。然而在抢答过程中,单靠视觉是很难判断出哪组最先完成抢答操作。为了辨别哪一组或哪一位选手获得答题权,必须要设计一个智能抢答控制系统——智能抢答器。 抢答器作为一种电子产品,已被人们所熟知并广泛应用于各种智力知识竞赛场合。抢答器在竞赛中有很大用处,通过抢答器的指示灯显示,数码管显示和警示蜂鸣等手段,能准确,公正,直观地判断出第1抢答者并协助比赛的顺利进行。但是,目前使用的抢答器大多数都采用了逻辑电路进行设计,分立元件较多,造成抢答器的成本较高。此外一般抢答器由模拟电路,数字电路或二者结合组成,其智能化程度低,故障率高,显示简单。现代电子技术的发展要求电子电路朝数字化,集成化方向发展,因此设计出全集成电路的多路抢答器是现代电子技术发展的要求。 2 .设计任务 本设计要求学生结合现有的实际条件,以单片机为控制核心,设计一个8路智能抢答器。要求实现的功能如下: 1) 抢答器可同时供8名选手或8个代表队比赛,分别用8个按键S1~S8进行抢答。 2) 主持人可以通过智能抢答器的按键设定每道题的抢答时间和回答时间。 3) 具有清零和非法抢答控制功能,并由主持人操纵,避免选手在主持人说“开始”前提前抢答,违反规则。 4) 当主持人启动“开始抢答键”后,定时器进行减计时,在10s内无人抢答表示所有参赛选手或参赛队对本题弃权,抢答时间耗尽后禁止抢答。 5) 倒计时5s时,如果仍无人抢答,则系统每1s报警一次,用以提示参赛选手。 6) 抢答器具有锁存与显示功能。即选手按下按键,锁存相应选手的参赛号码,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,其他按键者将不能响应,以便公平地选择第一个抢答者。 7) 参赛选手在设定的时间内进行抢答,抢答有效,显示器上显示选手的编号同时进入回答问题的30s倒计时。 8) 倒计时期间,如果主持人想终止倒计时,可以按下“停止”按键,系统

基于51单片机8路抢答器设计

创新实践课 课程名称:创新实践课 实践题目:基于51单片机8路抢答器设计学院:信息工程与自动化学院 专业:生物医学工程 年级:2014级 学生:4 丽莎2海星 指导教师:嘉林 日期:2016-12-30 教务处制

目录 一、前言 (3) 二、电路原理图设计 (3) 三、印制版图设计 (7) 四、软件设计 (9) 五、测试数据及分析 (16) 六、总结 (18)

一、前言 目前,抢答器已经作为一种必不可少的工具广泛应用于各种智力和知识竞赛场合,但一般的抢答器可靠性低,使用寿命短,介于这些不方便因素,此次设计提出了用51单片机为核心控制元件,设计一个简易的八路抢答器。本方案以51单片机作为主控核心,与晶振、数码管、蜂鸣器等通过外围接口实现的八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路、定时器/计数器等,设计的八路抢答器不仅具有实时显示抢答选手的和抢答时间的功能,同时还利用汇编语言编程,使其实现复位、定时和报警的功能。本次设计的系统实用性强、判断精确、操作简单、扩展功能强。 功能:以STC89C52RC单片机作为主控核心,与晶振、数码管、蜂鸣器等通过外围接口实现的八路抢答器,利用了单片机的延时电路、按键复位电路、时钟电路等,设计的八路抢答器不仅具有实时显示抢答选手的和抢答时间的功能,同时还利用汇编语言编程,使其实现复位和报警的功能。 此系统是基于51单片机,led发光二极管,一位共阳数码管,蜂鸣器,按键,等分立元件设计而成。 元件设计的意义:关于按键:共设计了10个独立按键,其中8个分别为八位选手抢答输入用,另外两个分别为开始和停止按键!只有裁判按下了开始键才进入正常抢答,否则属于犯规抢答,抢答完毕,裁判按下停止,数码管显示0。关于led发光二极管:共设计了9个发光二极管,其中一个为电源指示,其他8个为选手抢答状态指示,正确抢答时led发光二极管缓慢闪烁,犯规抢答时,快速闪烁。关于数码管:选手按下自己的按键时显示相应的选手编号!裁判按下开始键时数码管显示倒计时,

八路抢答器设计总结,心得体会

设计总结 1、设计思路是整个设计的灵魂拿下每个课题能有一个非常清晰的设计思路是至关重要的。只有对课题的充分理解,对各种器件的熟练掌握,勾画出基本的设计图是成功的关键,必须多花时间在设计上才能为后续工作提,供更扎实的基础。翻阅各种资料,上网查询填补所需知识的空白是必要的。 2、焊接制作必须精益求精焊接必须精益求精,一丝不苟,一点的差错都可能导致实验结果错误,因此必须准确无误还要工整,这样才能在调试中能比较轻松进行,也是整个电路可看性更好。 3、调试调试工作是个精细工作。在调试过程中,有些问题是芯片本身损坏引起的,也有些是因为焊接问题引起的等因此排查过程需要特别有耐心,通过对芯片功能的检验,对焊点的检查最后检查出问题所在。当最后解决问题时,电路的正确是非常振奋,也很有成就感和满足感。 4、建议设计的作品主要是用cd4511 系列集成芯片来完成的,在焊接的过程中由于芯片的引脚过多,布线工作不是很方便。有时候还因为某一跟线没有焊牢,造成电路的不稳定,这些都是有待改进的。 5、不足实验效率低,焊接水平不足导致电路稳定性不高,布线比较混乱,这些都有待提高。总之,在设计过程中学到了许多。作为现代的大学生,如果仅停留在以往的层次上,是远远跟不上时代的步伐,也无法使自己立足在竞争如此激烈的社会里,通过此次实习,看到了自己的水平和差距,学要在今后的学习中又进一步的提高。 心得体会 通过本次课程设计,把我们在课堂上学到的数字电路知识运用到实际当中。如各种常见芯片的功能,各种组合逻辑电路和时序逻辑电路的设计,在此次设计中,当然也遇到了许多问题,毕竟这是第一次设计一个很实际的硬件的器件。在进行一个综合性的硬件设计时,要全面考虑问题,如想用其他信号来控制一个信号,就要考虑到和这个信号直接或间接关系的信号,必须是最重要相关的信号,然后用真值表来解决他们的关系,通过门电路来实现。这一个星期的课程设计,让我真正理解了书本上知识,也让我知道我们课本上的知识在实际中怎么应用,理论联系实际,。通过此次设计,我对理论知识的学习有了很大的兴趣,现在我可以主动的去学习,我明白自己该学习那个方面,重点是什么。我也掌握的了在理论中遇到问题,应该怎样去解决,在实际中遇到迷团应该怎样去检查调试。虽然最后我没调试出我们想要的结果,但是经过这次课程设计让我们更巩固了我们的专业知识和焊接技能。在这次设计过程中还了解到在设计的时候不仅是设计好,更重要的是想方设法在功能实现的同时降低成本。

数字电子设计_八路抢答器介绍

数字电子技术 课程设计任务书 专业 班级 姓名 学号 指导老师 年月日 学院

目录 摘要 第一章设计技术要求 第二章系统的组成框图及工作原理第三章单元电路设计 1.1 抢答电路的设计 1.2 定时电路的设计 1.3 报警电路的设计 1.4 时序控制电路的设计 第四章整机电路的设计 第五章元件清单 第六章参考文献 第七章设计总结

摘要 进入21世纪越来越来多的电子产品出现在人们的日常生活中,例如企业、学校和电视台等单位常举办各种智力竞赛, 抢答记分器是必要设备。 主要介绍了数码显示八路抢答器电路的组成、设计及功能,电路采用74系列常用集成电路进行设计。该抢答器除具有基本的抢答功能外,还具有定时、计时和报警功能。主持人通过时间预设开关预设供抢答的时间,系统将完成自动倒计时。若在规定的时间内有人抢答,则计时将自动停止;若在规定的时间内无人抢答,则系统中的蜂鸣器将发响,提示主持人本轮抢答无效,实现报警功能,若超过抢答时间则抢答无效。 该抢答器主要运用到了编码器,译码器和锁存器:它采用74LS148来实现抢答器的选号,采用74LS279芯片实现对号码的锁存,采用74LS192实现十进制的减法计数,采用555芯片产生秒脉冲信号来共同实现倒计时功能。 关键词: 抢答器编码译码定时报警 第一章设计技术的要求 (1)设计8路抢答器,编号与参赛选手一一对应。 (2)具有优先显示抢答序号及时间的功能并禁止其他选手的抢答。(3)主持人预置抢答时间,控制比赛的开始与结束。 (4)报警电路:主持人按下“开始”键时报警并进入抢答状态;当抢答者发出抢答信号时报警提示;在规定抢答终止时间到时报警。 第二章系统的组成框图及工作原理 抢答器的组成框图

8路抢答器设计

华侨大学厦门工学院数字逻辑系统设计课程设计报告 题目:8路抢答器 专业、班级: 分数: 2012年5月30日

任务书 一、任务 设计一个8路抢答器,在控制开关(控制系统的清零和抢答的开始)作用下,抢答选手在开始信号作用后在规定的时间内开始抢答,锁定并显示优先抢答选手的编号同时给出音响的提示。抢答选手确定后,封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到控制信号将系统清零为止。 二、设计要求 (1)设计一个可供8名选手参加比赛的8路数字显示抢答器。他们的编号为12345678,各用一个抢答按钮(开关),编号与参赛者的号码一一对应。 (2)设置一个系统清除和抢答控制开关R,该开关由主持人控制。 (3)抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出提示。先抢答选手的编号一直保持到主持人将系统清除为止。 目录 一、课题研究的相关背景、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、2 二、选题的目的、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、2 三、电路总体框图和优缺点 (3) 1、电路总体框图 (3) 2、优点 (3) 3、缺点 (3) 四、电路设计 (3) 1、完整电路图 (4) 2、实物图、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、、4 3、数码显示 (5) 4、CC4511介绍 (6) 5、555芯片介绍 (7) 五、制作及调试过程 (8) 1、安装 (9)

多路智力抢答器实验报告

湖北经济学院 数字电子技术课程设计报告 课题名称:数字电子技术课程设计指导教师: 学生班级: 学生姓名: 学号: 学生院系: 2012年4月

设计任务 一、基本功能 1、设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,分别用八个抢答按钮So、S1、S 2、S 3、S 4、S 5、S 6、S7表示。 2、设置一个由主持人控制的控制开关,用来控制系统清零和抢答。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时蜂鸣器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 二、扩展功能 1、抢答器具有定时抢答的功能,抢答时间为30秒。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出声响,声响持续时间为0.5秒左右。 2、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。

设计报告 一、设计目的 1、学习数字电路中的优先编码器,锁存器,计数器,时序控制电路,多谐振荡器等单元电路的综合运用。 2、掌握各芯片的逻辑功能及使用方法。 3、了解面包板结构及其接线方法。 4、了解数字抢答器的组成及工作原理。 5、熟悉数字抢答器的设计与制作。 二、设计步骤 1、画出原理框; 2、根据原理框图,把框图中每个部分电路设计出来,画出电路图; 3、仿真调试; 4、搜集元器件; 5、搭建电路,实现功能。 三、具体设计过程 1、画出原理框图

8路数字抢答器课程设计报告

八路抢答器课程设计报告设计课题:数显八路抢答器 专业班级 08电气一班 学生姓名:陈建运 - 学号:06 指导教师: 设计时间: 2010-12 %

目录 课程设计任务书 (2) 摘要 (4) )Abstract (5) 一、实验目的 (6) 二、设计要求与内容 (6) 三、设计及原理 (7) 总体方案设计 (7) 设计思路 (7) 总电路框图 (7) 各模块设计方案及原理说明 (8) \ 抢答电路 (8) 倒计时电路 (12) 四、电路仿真 (14) 抢答电路 (14) 倒计时电路 (17) 五、实验结果及分析 (20) 六、收获、体会和建议 (22) 附录 (25) > 1.总电路图 (25) 2. 元件引脚图 (26) 3.元器件清单 (28) 主要参考文献 (29) `

¥ 摘要 抢答器作为一种工具,已经广泛应用于各种智力和知识竞赛场合。本设计以八路智力竞赛抢答器为基本概念,从实际应用出发,利用电子设计自动化( EDA)技术,用数字、模拟电子器件设计具有扩充功能的抢答器。该抢答器的设计利用peotul完成了原理图设计和电路仿真,具有数字显示、倒计时显示、编码译码功能,应用效果良好。 关键词: 电子设计自动化;数字电子技术;抢答器;仿真 Abstract 。 Responder is a tool that has been widely used in various intelligence and knowledge competitions occasions. The design to eight-way quiz Responder basic concepts, from the practical application, the use of electronic design automation (EDA) technology, with digital, analog electronics design extension of the answering device. The design of the Responder use Multisim11 completed the schematic design and circuit simulation, with digital display, countdown shows, coding and decoding functions, with good results.

基于80C51单片机的八路抢答器设计分析

专业论文 题目:基于80C51单片机的八路抢答器设 计

摘要:八路智力抢答器是一个可供八个参赛组进行智力竞赛的电路装置,该装置主要是由单片机最小系统、控制电路(八个选手抢答按钮;三个主持人控制按钮;四个修改按钮)、数码显示电路与蜂鸣器电路组成的。单片机(MCU)是目前在电气控制技术中广泛应用的重要元件。它具有体积小,稳定性高,应用范围广,控制能力强,升级改造容易等诸多优点。本论文介绍采用ATMEL公司AT89S52单片机设计八路智能抢答器。软件采用汇编语言编程,汇编语言属于计算机领域的低级语言,具有简明易懂,执行效率高等的优点。智能八路抢答器具有抢答时间与答题时间调整,抢答错误报警提示等功能,可以广泛应用于各类知识竞赛。 关键词:抢答器;单片机;硬件系统;软件编程

基于80C51单片机的八路抢答器设计 一、系统概述与原理方框图 在文中,我对八路抢答器的总体设计及其主要的功能特点进行简单的分析,并给出它的特点,实现的功能以及系统的简单操作,以对单片机及其控制系统的了解。 (一)单片机技术发展的概述与系统问题的提出 目前,单片机正朝着高性能和多品种方向发展,单片机的发展正朝着 CMOS化,低功耗,小体积,大容量,高性能,低价格和外围电路的内装化等 几个方面 发展。近几年,由于某种原因CHMOS技术的进步,大大地促进了单片机的CMOS 化,此种芯片除了低功耗外,还具有功耗的可控性,使单片机可以工作在功 耗精细管理状态,特别是IIC,API等串行总线的引入,可以使单片机的引脚 设计得更少,单片机系统结构更加简化及规范化。 我们设计出的8路抢答器是一种基于MCS-51单片机的硬件和软件设计及 实现方法,这种电路设计具有按键有效提示,输入错误提示,控制报警电路, 在线修改功能等多种功能,保密性强,灵活性高,特别适用于家庭!办公室!学 生宿舍及宾馆等场所。它具有全集成化,智能化,高精度,高性能,高可靠 性和低价格等优点,是一个值得推广的一种方法。接下来我们就对方案与设 计原理方框图进行比较分析。 (二)设计思路与系统组成及主要特点 为了使设计更具有针对性,使用性更强,我对其进行精心的设计,在设 计过程中,我们想到了很多的设计方案。 1.设计思路 设计一个八路抢答器,可同时供8名选手或者8个代表队参加比赛,他 们的编号分别为1——8,各用一个抢答器按钮,按钮的编号与选手的编号相 对应,分别设为S1…S8。节目主持人设置一组控制开关,用来控制系统的清 零和抢答器的开始,修改抢答时间与答题时间,如果想调节抢答时间或答题 时间,按"抢答时间调节"键或"答题时间调节"键进入调节状态。并且抢答器具 有数据锁存和显示的功能,抢答开始,若有选手按动抢答按钮,编号立即锁

8路抢答器的设计报告(数字电路课程设计)资料

《数字电子技术》课程设计报告 8路智力抢答器 设计与制作 设计要求: 1、可同时供8名选手或8个代表队参加比赛; 2、主持人控制系统的清零(编号显示数码管灭灯)和抢答 的开始; 3、抢答器具有数据锁存和显示的功能; 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由 主持人设定; 5、具有报警功能。 成绩:评阅人: XX科技学院理学院

8路智力抢答器 设计与制作 8路智力抢答器是一种用数字电路技术实现由主持人控制、定时抢答、报警功能的装置。他是在规定的时间内进行抢答。一旦有人抢答,显示器上会同时显示抢答时间和抢答选手号码。当超出规定时间时,即使抢答,不会显示选手号码。 8路智力抢答器包括组合逻辑电路和时序电路。通过此次设计与制作,进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于8路智力抢答器包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。 一、设计要求 (一)设计指标 1、计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,他们的编号分别是0、1、 2、 3、 4、 5、 6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0——S7。 2、给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3、抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管行显示出选手的编号,

同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 4、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)。当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时扬声器发出短暂的声响。 5、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 6、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。 (二)设计要求 1、画出电路原理图(或仿真电路图); 2、元器件及参数选择; 3、电路仿真与调试; (三)制作要求自行装配和调试,并能发现问题和解决问题。 (四)编写设计报告写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 二、原理框图 抢答器系统原理框图如下所示。它由主体电路和扩展电路两部分组成,主体电路完成基本抢答后,选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答,扩展电路完成定时

八路抢答器实验报告

电子课程设计报告4511型八路数显抢答器 学生姓名: 专业: 班级: 学号: 指导教师: 同组成员: 时间:

第一章绪论 1.1关于4511型数显抢答器 八路智能抢答器主要由数字优先编码电路、锁存/译码/驱动电路于一体的CD4511集成电路、数码显示电路和报警电路组成。优先编码电路、C D4511集成电路将参赛队的输入信号在数码显示管上输出,用报警电路对时间进行严格控制,这样就构成了八路智能抢答器电路。 八路数字抢答器电路包括抢答,编码,优先,锁存,数显,复位及抢答键。抢答器数字优先编码电路由D1-D12组成,实现数字的编码。CD4511是一块含BCD-7段锁存/译码/驱动电路于一体的集成电路。抢答器报警电路由NE555接成音多谐振荡器构成。抢答器数码显示电路由数码管组成,输入的BCD码自动地由 CD4511内部电路译码成十进制数在数码管上显示。 1.2 选题的目的和意义 通过这次课程设计,让我了解到了八路智能抢答器的结构组成和工作原理,同时了解焊接的方法和技巧。 1.3 课题研究的内容 八路智能抢答器是采用了CD4511集成芯片来实现功能要求的,在抢答过程中,每个选手都有一个抢答按钮。在主持人按下复位键宣布抢答开始的时候,选手就开始进行抢答,在指定时间内选手进行抢答,数码显示屏上会显示最先抢答选手的编号。如果主持人没有按下开始键而选手就抢答视为犯规,数码显示屏显示犯规者的编号,扬声器持续发生。主持人可按复位键,新一轮抢答开始。

第2章抢答器的系统概述 2.1 系统的主要功能简介 4511型八路数显抢答器的主要功能有如下三点: 1. 可同时供8名选手参加比赛,其相应的编码分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应。 2.给主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号。 2.2 抢答器的工作过程 1、开始上电之后,主持人按复位键,抢答开始。如有选手按下抢答键,报警电路会发出讯响声,并且数码显示电路上会显示成功抢答的选手的编号。 2、当有选手抢答成功之后,系统就进行了优先锁存,其他抢答选手抢答无效。 3、如果主持人未按下复位键,而有人按了抢答按键,此次抢答无效,只有当主持人按下了复位键,选手才能进行顺利抢答。 总而言之,本课题利用简单逻辑数字电路设计了智能抢答器,该抢答器具有基本的强大功能,提高了系统的可靠性、简化了电路结构、节约了成本,但是此抢答器功能还不够强大,还有很多功能无法实现,需要我们继续学习和研究。

八路数字显示抢答器的设计要点

目录 1实习目的与要求 (2) 2实习内容 (3) 2.1电路设计……………….……………………………………………………. .3 2.1.1抢答电路设计 (3) 2.1.2定时电路设计 (4) 2.1.3报警电路设计 (5) 2.1.4时序控制电路设计 (6) 2.2整体电路设计 (6) 2.3 电路的仿真 (6) 2.3.1 抢答电路的仿真 (7) 2.3.2定时电路的仿真 (8) 2.3.3脉冲发生电路的仿真 (9) 2.3.4报警电路的仿真 (11) 3心得体会 (11) 参考文献 (12) 附录 (13) 附录A 整体仿真图 (13) 附录B 整体图 (13)

1实习目的要求 实习内容 本次实习的内容是独立完成一个八路数显抢答器的设计,采用电路仿真设计软件完成竞赛抢答器电路的设计及仿真调试,在微机上仿真实现数字式竞赛抢答器的设计。 实习具体内容为:比赛中为了准确、公正、直观地判断出第一抢答者,所设计的抢答器通常由数码显示、灯光、音响等多种手段指示出第一抢答者。同时还应设计记分、犯规和奖惩记录等多种功能。 设计要求: 1、基本部分 (1) 抢答器可供八组使用,组别键(信)号可以锁存;抢答指示用发光二极管(LED)。 (2) 记分部分独立(不受组别信号控制),至少用2位二组数码管指示,步 进有10分、5分两种选择,并且具有预置、递增、递减功能。 (3) 要求性能可靠、操作简便。 2、发挥部分(选做) 数字智力竞赛抢答器(自动记分)原理框图

(1) 增加抢答路数,数码管显示其组别键(信)号。 (2) 自动记分(受组别信号控制):当主持人分别按步进得分键、递增键或递减键后能够将分值自动累计在某组记分器上)。 (3) 超时报警。 实习任务要求 1、画出总体设计框图,以说明数字式竞赛抢答器由哪些相对独立的功能模块组成,标出各个模块之间互相联系,时钟信号传输路径、方向和频率变化。并以文字对原理作辅助说明。 2、设计各个功能模块的电路图,加上原理说明。 3、选择合适的元器件,在仿真软件上连接验证、仿真、调试各个功能模块的电路。在连接验证时设计、选择合适的输入信号和输出方式,在充分电路正确性同时,输入信号和输出方式要便于电路的仿真、调试和故障排除。 4、在验证各个功能模块基础上,对整个电路的元器件和连接,进行合理布局,进行整个数字钟电路的连接验证、仿真、调试。 5、自行接线验证、仿真、调试,并能检查和发现问题,根据原理、现象和仿真结果分析问题所在,加以解决。学生要解决的问题包括元器件选择、连接和整体设计引起的问题。 2实习内容 2.1电路设计 2.1.1 抢答电路设计 如图1所示为抢答电路图。电路选用优先编码器 74LS148 和锁存器74LS297 来完成。该电路主要完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号(显示电路采用七段数字数码显示管);二是禁止其他选手按键,其按键操作无效。工作过程:开关S置于"

八路抢答器电路的设计-王赫

八路抢答器设计报告 目录 一、设计的目的 (2) 二、设计任务和要求··································2-3 三、设计的方案的选择与论证··························3-5 四、电路设计计算与分析·····························5-19 1、基本功能电路的设计·····························5-17 1.1抢答电路的设计·····························5-11 1.2定时电路的设计····························11-14 1.3报警电路的设计····························14-17 1.4时序控制电路设计 (17) 2、扩展功能电路的设计·····························20-21 2.1六路彩灯循环电路的设计 (20) 2.2计分电路的设计 (21) 五、总结及心得 (22) 六、附录 (23) 七、参考文献 (24)

一、设计目的 (1)掌握抢答器电路的原理。 (2)了解数字集成电路的使用方法。 (3)进行电路原理设计,并学会运用PROTEL等电路设计及仿真软件。 (4)熟悉Multisim的基本使用方法,运用Mulsitim进行电路设计的仿真。 二、设计任务与要求 设计一个智力竞赛抢答器,可同时共8 名选手参加比赛,并具有定时抢答功能。具体功能要求如下: 1.抢答器的基本功能: (1)设计一个智力竞赛抢答器,可同时供8 名选手或8 个代表队参加比赛,他们的选号分别是1、2、3、4、5、6、7、8,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S1、S2、S3、S4、S5、S6、S7、S8。 (2)设置一个节目主持人控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答器的开始。 (3)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED 数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 (4) 加入报警电路,当主持人选择开始时报警器报警,开始后有选手抢答此时报警电路报警,当设置的倒计时时间走完时报警电路报警。

8路数字抢答器设计说明

简易8路数显抢答器: 简单实用的八路数显抢答器,主要包括抢答、编码、优先锁存、数显、复位及音频振荡等电路。元器件主要包括 CD4511.N E555、IN 4148、三极管(901 4)、LED 共阴极数码管、扬声器、小型按钮开关及电阻电容等。 说明: 抢答数显电路: J1~J8八个按钮开关组成抢答键。D1~D12十二个二极管组成编码器,将抢答键按对应的BCD 码进行编码,并将所得的高电平加在CD4511所对应的输入端。CD4511是一块含BCD —七段锁存/译码/驱动电路于一体的集成电路。CD4511的 1、2、 6、7脚为BCD 码输入端,9~15脚为显示输出端。3脚为测试端(LT),当L T 为“0 ”时,输出全为“1 ”。4脚为消隐端(B I ),当B I 为“0 ”时,输出全为“0 ”,因此此时可以清除锁存器内的数值,即可使用为复位端。5脚为锁存允许端(L E ),当L E 端由“0 ”→“1 ”时,a 、b 、c 、d 、e 、f 、g 七个输出端保持在LE 为“0”时所加BCD 码对应的数码显示状态。 16、8脚分别接电源正负极。由CD4511的引脚图可知, 6、2、 1、7脚分别代表BCD 码的 8、4、

2、1位。按下对应的键,即可得到 0001、0010、 0011、0100、 01、0110、 0111、1000八个一系列的BCD 码。高电平加在CD4511对应的输入端上,便可以由其内部电路译码为十进制数在数码管上显示出来。优先锁存电路由两个二极管( D13、D14)、一个三极管(VT)、两个电阻及CD4511的锁存允许端(LE)完成。在初始状态或复位后的状态时,CD4511输入端都与一个电阻(10K)串联接地,所以此时BCD码输入端为“00”,则CD4511输出端a、b、c、d、e、f 均为高电平,g 为低电平,且数码显示为“0”。而当d 为高电平,三极管(VT)导通及g 为低电平时, D13、D14的正极均为低电平,使CD4511的LE 端为低电平“0”,可见,此时没有锁存即允许BCD码输入。而当任一抢答键按下时,由数码显示可知,CD4511输出端d 输出为低电平或输出端g输出为高电平,两个状态必有一个存在或着都存在。迫使CD4511的LE端,由“0”→“1”,即将首先输入的BCD 码显示的数字锁存并保持。此刻,其它按键编码就无法输入,从而达到了抢答的目的。音频振荡电路为NE555组成的多谐振荡器推动扬声器发出讯响声。四只二极管(IN4148)组成二极管或门电路分别接CD4511的 1、2、 6、7引脚,为NE555提供电源+Ucc,即任何抢答键按下时,扬声器都能发出报警声。元器件清单 序号910元件名称 电阻 电阻

八路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目: 八路智力竞赛抢答器设计 姓名: 专业: 电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

八路抢答器电路设计教学设计

附件:八路抢答器电路设计教学设计 教学设计模板 聚焦教学重难点的信息化教学设计 课题名称:八路抢答器电路设计 、教学内容分析 1、抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定 (如 30s )。 当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时蜂 鸣器发出声响。 2、参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示 选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 3、如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报 警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示 、教学目标 1、培养数字电路的设计能力。 2、掌握抢答器电脑设计方法。 三、学习者特征分析~ 14春学生,基础还可以,面临毕业,学习积极性不高,但是动手能力强。 四、教学策略选择与设计 1、设计总体框 2、单元电路设计方案和原理说明 3?报警电路设计 五、教学重点及难点 1、 重点:数码显示管的使用。 2、 难点:安装调试 六、教学过程 1设计内容 a 设计一个智力竞赛抢答器,可同时供 8名选手或8个代表队参加比赛,他们的 姓名: 刘李丽 工作单位: 陕西省山阳县职教中心 学科年级: 高二 教材版本: 咼教版 00。

编号分别是1、2、3、4、5、6、7、8,各用一个抢答按钮,按钮的编号与选手的编 号相对应,分别是S0、S、S2、S3、S4、S5、S6、S7o b给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。 c抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时蜂鸣器给出音响提示。此外, 要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统 清零为止。 d.用中小规模集成电路组成智力竞赛抢答器电路,画出各单元电路图和总体逻辑 框图,正确描述各单元功能,合理选用电路器件,画出完整的电路设计图以及写出设 计总结报告2设计要求 a抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30s)o当节目主持人启动“开始”键后,要求定时器立即减计时,并用显示器显示,同时蜂鸣 器发出声响。 b参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 c如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统短暂报警, 00o 并封锁输入电路,禁止选手超时后抢答,时间显示器上显示3、设计总 体框 如图(一)所示为八路智力竞赛抢答器的总体方框图。其工作原理如下:

数电课程设计八路智力竞赛抢答器设计

数电课程设计八路智力竞赛抢答器设 计

课程设计任务书 题目: 八路智力竞赛抢答器设计 初始条件: ◆教材:《电子线路设计·实验·测试》第三版谢自美主编华中 科技大学出版社 ◆元器件:74LS48三片,72LS192两片,74LS279、74LS148、 74LS00、74LS11、555各一片,数码管三个,发光二极管一个,开 关、电阻、电容若干,面包板,导线若干 ◆仿真:Proteus仿真软件 要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) ◆多路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,她们的编号分别是0、1、2、3、4、5、6、7,各用一个抢 答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、 S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编 号,同时扬声器给出音响提示。另外,要封锁输入电路,禁止其 它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零 为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间能够由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计 时,并在显示器上显示,同时扬声器发出短暂的声响,声响持续 时间0.5s左右。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系 统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显 示器上显示00. 报告要求: 课程设计的内容要求用A4纸打印,且页数不得少于20页。 时间安排:

八路抢答器实验报告

电子工艺实习报告 1.实验内容: 学习电子工艺理论,包括焊接技术、常用器件和八路抢答器原理等等; 在练习板上进行焊接练习,包括至少四十个电阻(包括立式和卧式)、四十根导线(包括硬线和软线);根据所学内容和所给材料焊接八路抢答器并验收。 2.实验目的: 初步了解和学习电子工艺的相关知识理论,通过实际焊接提高动手能力,加深对知识的理解,为以后的专业学习打好基础。 3.焊接技术: ·电烙铁分为外热式、内热式、恒温式和吸锡器电烙铁,握法分为正握法、反握法、握笔法三种。镀锡防止氧化,使用后保持电烙铁清洁挂 锡,以防再次加热时出现氧化。 ·焊料:易熔的金属合金又称焊锡丝,特点是熔点比被焊物的熔点低,450度以上称硬焊料,450度以下称软焊料。作用是将被焊物连接在 一起。 ·焊剂包括松香、焊油、镪水等,作用是清除被焊物表面氧化物及杂质,保证焊锡及被焊物之间发生合金反应。 ·焊接工艺要求:焊接的机械强度要足够;焊接可靠,保证导电性能良好;焊点表面要光滑清洁,不能出现焊点表面粗糙、拉尖、毛刺等现 象。 ·操作要领:焊接时烙铁与引线、印制板、铜箔之间的接触位置关系; 焊接的温度和时间要掌握好;焊接时被焊物要固定;焊料使用要适量,将焊锡丝和电烙铁同时作用于被焊物两端,当焊料的扩散范围达到要 求后,迅速拿开烙铁和焊锡丝,拿开焊锡丝的时间不得迟于拿开烙铁 的时间;焊点重焊时必须与上次的焊锡一同溶化,并溶为一体时才能 把电烙铁移开;剪掉多余引线。 ·拆焊:依据情况分为用烙铁直接解焊、采用专用工具、采用吸锡烙铁或吸锡器、利用铜丝编织的屏蔽线电缆或较粗的多股导线用为吸锡材 料等方法。 4.对元器件焊接要求: 遵循先小后大,先低后高,先轻后重,先内后外的原则;电阻标记方向一致、高低一致;电容标记方向要容易看,先焊无极性电容再焊有极性的;二极管正负极性一致、高低一致;集成芯片先弄清引脚顺序,再焊对角然后依次从左到右从上到下焊起,时间不超过3秒。 5.元器件的装配工艺及绘制电路板图: ·元器件的插装方法分为卧式和立式; ·布局布线:布置均匀,密度一致,横平竖直,不许斜排或交叉重排,避免相互干扰; ·上下级输出输入要紧接。 6.工业生产焊接技术:包括浸焊、波峰焊、再流焊。 7.焊接技术的发展。 8.元器件介绍:

八路抢答器实验报告

八路抢答器设计与制作 一、电路功能 1.主持人控制抢答器工作。 2.抢答有效时间为主持人按下按键后5秒内,其他时间按动抢答键无效。 3.抢答选手编号为0、1、2、3、4、5、6、7。抢答开始后,若五秒内有人抢答,则由LED数码管显示最先抢答选手编号,否则无显示。 4.抢答开始后由蜂鸣器发出5声1秒的提示音,若在5秒内有人抢答,蜂鸣器立刻停止提示音。并显示抢答选手编号。 二、电路基本参数 输入电压Vcc=5v 三、电路原理框图 图3-1 八路抢答器组成电路 四、设计要求 5.有八个抢答按键,一个主持人控制按键。 6.抢答有效时间为主持人按下按键后5秒内,其他时间按动抢答键无效。 7.抢答选手编号为0、1、2、3、4、5、6、7。抢答开始后,若五秒内有人抢答,则由LED数码管显示最先抢答选手编号,否则无显示。 8.抢答开始后由蜂鸣器发出5声1秒的提示音,若在5秒内有人抢答,蜂鸣器立刻停止提示音。

五、 电路原理图及工作原理介绍 电路原理图如图2-1所示。 图2-1八路抢答器原理图 图中70K K -为8个抢答按键。74LS148为8线/3线优先编码器,其逻辑功能如表2-1所示。8路输入信号70D D -以及编码输出信号70A A -均为负逻辑。EI 为使能控制端,低电平有效,当EI=0时,正常编码,否则所有输出端均为高电平。当EI=0时,且70D D -有输出时,0s =G ,否则1s =G ,可见GS 为低电平时74LS148正常编码且有输入。当EI=0时,且70D D -无输入时,EO=0,可见EO 为低电平时表示74LS148正常编码且无输入。 74LS279为4RS 触发器,输入信号低电平有效。其中,第一和第三RS 触发器有两个置1端。看8K 为主持人控制键,按下8K 将第一至第三RS 触发器复位,将第四RS 触发器置1。在正常抢答期间,74LS279作为锁存器,将编码输出70A A -和GS 锁存,其中02A A -反相输出,从而将负逻辑编码变为正逻辑,GS 同相输出。最后,编码信号经7段显示译码器74LS48译码由LED 显示抢答选手编号。74LS48内部有2K 上拉电阻,可直接驱动共阴LED ,不需要串联限流电阻。 由555定时器构成多谐振荡电路,震荡周期S C R R T 1)(7.011110≈+=,占空比 %7.66211 1011 10≈++= R R R R D 。该信号既是倒计数电路的时钟脉冲,又是蜂鸣器的发声的 定时脉冲。由74LS192构成5秒倒计时电路。主持人按下8K 时,计数器置为6,然后开始倒计数,经6个脉冲后,计数值为0,以后保持0不变,除非主持人再次按下8K 置数,因为计数器最高输出D Q 端与异步清零端CLR 相连,当计数器为0时若继续倒计数,则D Q =1,使计数器清零。 主持人按下按键8K 复位后,计数器输出为6,前5个计数脉冲到来后,计数器输出分别为5、4、3、2、1,或门1G 输出高电平,若无人抢答,则与非门2G 打开,时钟

相关文档
最新文档