基于数字电路的简易自动售货机设计

基于数字电路的简易自动售货机设计
基于数字电路的简易自动售货机设计

一、概述

自动售货机(Vending Machine,VEM)是能根据投入的钱币自动付货的机器。自动售货机是商业自动化的常用设备,它不受时间、地点的限制,能节省人力、方便交易。是一种全新的商业零售形式,又被称为24小时营业的微型超市。

从西欧、北美、日本和韩国等地区和国家的情况来看,自动售货机在人们日常生活中扮演越来越重要的角色。1962年,出现了以自动售货机为主体的流通领域的革命。到了20世纪80年代,自动售货机在美国和日本被广泛使用,后逐渐散布世界各地,主要是发达国家。其产品特点是科技含量高;24h服务,售货便利;无需专人盯守,省人工,省成本;售货范围广泛;此外还是一种新颖独特的广告媒体。目前,随着移动商务的发展,自动售货机作为一种方便、直观的移动商务工具,开始在全球各地迅速普及和发展。2 国内外自动售货机的市场发展现状在中国,自动售货机将成为一个潜在的巨大产业,继百货商店、超市之后掀起第三次零售业革命,其前景非常广阔。售卖的商品可根据摆放场所的需要量身定制,包括冷热饮料、零食、电话卡及国外进口的特色商品等。并且,透过这种智能售货系统,存货、销售、物流信息可以准确、及时地反馈给客户和管理人员。此外,还支持多种交易支付模式,同时方便实现较大金额的支付和交易结算。在2004年,通过手机购物的无线自动售货机在国内开始出现,天津南开戈德公司已研制此种类型的自动售货机。这种新一代自动售货机除了更方便售卖货品之外,还被视为一种传播广泛的广告媒介。在国外,自动售货机发展相对成熟。日本的自动售货业已经有2000多种机型、6000多种商品。在美国,运营商就多达10800家,并且具有与大饮料商、大食品商的合作经验。在日本,由于其无所不在,24h供应,自动售货机很受特别忙碌的人欢迎。3 自动售货机的技术研究现状在自动售货机相关的所有研究领域中,全球对其关键技术的研究主要集中在以下3个方面:a.系统内部销售动作实现方式的研究;b.资金结算及销售信息统计管理的实现方式研究;c.功耗节省模式的研究。根据相关文献资料,系统内部销售动作实现方式的研究已基本成熟,研究最多的主要集中在资金结算及销售信息统计管理的实现方式本次课程设计通过模拟电路和数字电路的知识设计的简易自动售货机,充分体现了21世纪自动化技术应用在生活中的实例。同时也考验了我们作为自动化类专业掌握的基本电子学常识。

二、方案论证

方案一:

本方案参照《数字电子技术基础》书中所给例子,通过提前设计好找零状况,例如,购买三元商品,投入五元则找零两元,投入十元则找零七元。以此类推,列出所有一至五元商品所被找零状况后通过LED 灯的亮灭来显示找零情况。电路框图如图1所示

图1 方案一原理框图

方案二:

电路从两部分输入,第一部分通过开关闭合模拟投币,投币后进入计数器74161和二进制全加器74LS283进行累加,通过数码管显示投币总值。再通过数值比较器7485比较可以购买的不同价格的货物类型。

另一部分通过开关闭合模拟选择货物,选择货物后通过编码器用数码管显示货物价格,最后将投币总值和货物价格一起通过减法器,做差值后显示,其值为找零钱数,用数码管显示。电路框框图如图2所示

图2 方案二原理图

投币

计数器

数码管显示

比较器比较价格并显示

选择商品

编码器编码并

用数码管显示 减

找零并显示

加法器

寄存器记录数据

投币

输出电路LED 灯亮灭

控制电路完成累加

本次设计采用方案二,很明显,方案一需要提前用人脑计算各种各样的出货以及找零情况,而且仅仅用LED灯显示非常不切实际,不方便使用。方案二采用自带的减法器,能显示各种出货以及找零情况,并且用数码管显示出来,界面干净简洁,操作方便。

三、电路设计

1.直流稳压电源电路

工作原理:变压器是这一电源电路起始部分,将220V的电网电压转变为本设计所需的较低的电压,就可以进行下一阶段的整流部分。一般规定v1为变压器的高压侧,v2为变压器的低压侧,v1侧的线圈要比v2侧的线圈要多,这样就可以将220V的电网电压降.

双相桥式整流电路,就是将交流电网电压转换为所需电压,整流电路由四只整流二极管组成。下面简单介绍一下单相桥式整流电路的工作原理,为简便起见,这里所选的二极管都是理想的二极管,二极管正向导通时电阻为零,反向导通时电阻无穷大。在v2的正半周,电流从变压器副边线圈的上端流出,经过二极管D1,再由二极管D4流回变压器,所以D1、D4正向导通,D2、D3反向截止,产生一个极性为上正下负的输出电压。在v2的负半周,其极性正好相反,电流从变压器副边线圈的下端流出,经过二极管D2,再由二极管D3流回变压器,所以D1、D4反向截止,D2、D3正向导通。桥式整流电路利用了二极管的单向导电性,利用四个二极管,是它们交替导通,从而负载上始终可以得到一个单方向的脉动电压.

电容两端电压升高时,电容充电,电压降低时,电容放电,让电压降低时的坡度变得平缓,从而起到滤波的作用。这里选用电解电容是因为电解电容单位体积的电容量非常大,能比其它种类的电容大几十到数百倍,并且其额定的容量可以做到非常大,价格比其它种类相比具有相当大的优势,因为其组成材料都是普通的工业材料,比如铝等等。电解电容并联二极管,有效防止了电压反相。

Rmax=(Umin-Uz)/(Iz+Imax)

Rmin=(Umax-Uz)/(Izm+Imin)

得出3.2k

故方案中设计选用3.5k

Uo=0.9U2

Io=0.9U2/Rl

每个二极管平均电流Id=1/2 Rl=0.45U2/Rl

图3 直流稳压电源的设计

2.投币模块

由于最高商品价格为5元,故设计电路的时候省略的了5元与10元投币时有多次投币的过程,只用开关控制。而在设计1元投币的时候考虑到有可能通过多次投入1元来购买商品的过程,故在1元控制开关后加上74161同步四位计数器,开关上端接VCC高电平,未投币时候开关在下端,是低电平,每当开关从高电平回到低电平时,给74161输入一个置0信号,使芯片记住一次输入,记为1,所以可以多次计数,直到16为止,完全满足了该自动售货机的商业需求。

之后将74161的输出与模拟5元和10元的电路一起接到四位超前进制加法器74LS283上,由于串行进位加法器的速度受到进位信号的限制,人们又设计了一种多位数超前进位加法逻辑电路,使每位的进位只由加数和被加数决定,而与低位的进位无关,各位的进位信号都只与两个加数有关,它们是可以并行产生的将所有模拟投币

的数值相加,从而得到投币总值。如图4所示

图4 投币模块

3.显示模块电路

本设计采用四段数码管显示各个商品价值,投币总值,已选择商品价格以及找零数目。分别用红色,蓝色,绿色和橙色显示。如此方便阅读,红色显示商品价格,蓝色显示投币总额,绿色表示所选商品价格,橙色表示找零数目。显示的数码管有四个接口。显示模块用来显示计时模块输出的即时计时和中途计时结果。是由七段数码管构成计时模块输出计时信号通过译码器译码由数码管显示出来。如图5所示

图5 显示模块四段数码管

4.显示商品价格及比较投币大小模块

我们知道,显示商品价格只需要在需要显示的二进制数位的输入端接入高电平即可。在比较电路方面,采用7485数值比较器,可以比较两组四位二进制数。将加法器74LS283的输出接到7485的输入A0 A1 A2 A3端,用VCC高电平接入B0 B1 B2 B3端口作为被比较的数值,同时在B端接数码管,显示被比较的数值。实际上,该被比较的数值就是商品的价格,从而一举两得,既显示了商品价格,又比较了投币总值与商品的大小。当A端输入大于B端时,输出端出现高电平,将其与LED灯相连。由此实现了,当投币总值大于或等于商品价格的时候,LED灯亮,从而模拟了投币之后显示可购买的商品。具体电路如图6所示

图6 显示商品价格以及比较投币总值与商品价格

5.选择商品模块

本设计通过开关闭合来选择商品,闭合开关后,商品对应的LED灯电路接通,LED 灯后采用200欧姆的电阻将电路电流调整到LED的工作电流5mA。LED灯亮,并且电路作为高电平输入到编码器接口,为显示商品价格作准备,截取4种1元商品的选择,其他还有4种2元商品,4种3元商品,4种4元商品,4种5元商品,共20种商品,20个开关。用或非门和与门将代表同样价格的4个开关连在一起,从而当有一种商品被选择的时候,电路就会接通,产生一个高电平到接下来要提到的编码器。如图7所示

图7 模拟选择商品模块

6.显示所选商品价格

在上个单元讲到模拟选择商品之后高电平接入编码器,本设计采用741488-3线优先编码器.74148是一八线-三线优先编码器,该编码器由8个信号输入端,3个二进制输出端,输入输出均为低电平有效。EI为输入使能端,低电平有效,当EI为低电平时,编码器处于工作状态;EO为输出使能端,只有在EI=0,且所有输入都为1时,输出为0;GS表征编码器的工作状态,当且仅当EI为低电平,且输入至少有一各为有效电平时,GS才有效。因此,可根据EI、EO、GS功能扩展端的特点,对电路进行相应控制通过编码将开关的开关与闭合编译成数码,通过数码管显示,如图8所示

图8 显示所选商品价格

7.减法器电路显示找零数目

在设计减法时,要巧妙的运用74LS283加法器。由于该加法器是四位的,所以最大可计算16以内的加法,超过16的则会重新计数。通过将商品价格的输出电平用异或门电路转换成其对应的反码,再用投币总值加上这个反码,在舍弃进位的条件下,就可以表示相对应的减法,即用投币总值减掉商品价格,从而得到了找零钱数。

电路执行减法运算,异或门的输出是输出的反码最后将俩输入的16位的数据相加或相减得出结果,在做减法运算时候要注意,当a〉b时,对其输出结果CO要注意,CO=1略去,当a〈b时,对其输出结果CO=0,表示有错位,也就是说输出的结果为负数。这里用的是四位加法器,相加时每一位是带进位想加的,因而必须使用全加器,只要依次将低位全加器的进位输出端CO接到高位的全加器的进位输入端CI,就可以构成多位加法器了。开始时候考虑选用的事741LS183不过这种加法器运算速度慢,在最不利的情况下,做依次加法运算需要经过几个全加器的传输延迟时间(从输入加数

到输出状态稳定建立起来所需的时间)才能得到稳定可靠的运算结果。加减运算电路主要由加法运算电路和减法运算电路这两个功能电路组成。所以我对这两种电路进行分析和比较,以求用最简单的方式来达到我设计的目标。查阅了教材上资料后,一般构成加法运算电路主要由集成4位超前进位加法器74LS283 实现。这个芯片可以进行两个4位2进制数的全加,符合我此次课程设计的要求,所以就采用它来完成我的电路功能。为了提高运算速度,必须设计减小由于进位信号逐级传递所消耗的时间,那么就选择了74LS283通过逻辑电路事先得出每位全加器的进位输入信号,而无需再从最低位开始向高位逐位传递信号了,这就有效提高了速度。如图9所示

图9 减法电路显示找零数目

四、性能的测试

1.直流稳压电源的测试

开始仿真,在直流稳压电源两端接入万用表,测试其直流电压如图10所示

图10 直流稳压电源测试

稳压源具体数据如表1给出

表1 自动售货机电路测试数据表

R值(kΩ)C值(μF)频率(Hz)周期(s)

3.5 15 50 0.02

2.模拟投币测试

首先需要测试投币一元时可以连续投入的情况,因为购买的时候经常可能出现身上只有一元硬币的情况。所以实现该功能非常重要,能让消费者将身上的零钱都花出去。而且因为有了一元钱的连续投入,可以实现,投入6元,7元,8元,9元以及11元,12元,13元,14元的投币方式。与投币5元和投币10元合理的结合在一起。实现了1元到15元的所有投入类型。如图11、12、13所示

图11 投入一元

图12 连续投入两次一元

图13 连续投入三次一元

接下来测试五元投币的输入情况,闭合模拟五元输入的开关,此5元开关可以喝10元开关同时开启,即输入15元的情况。如图14所示

图14 模拟5元输入

接着测试模拟十元输入情况,闭合模拟十元输入的开关,如图15所示

图15 模拟10元输入

由于该芯片是16进制的,所以十进制中10对应的16进制数字是A,故在单个数码管中显示的A,即代表10。对应的,在10元基础上再输入1元的话,最终可得B,C,D,E,F如图16显示,输入14元时的状况

图16 输入14元

14元对应的十六进制数字为E,所以输入功能完全都可以实现。

3.显示所选商品价格测试

通过闭合开关模拟选择商品,并用数码管显示价格。测试分别输入4元和5元的结果,如图17、18所示

图17 选择4元商品

图18 选择5元商品4.投入钱币后显示可以购买的商品的测试

图19 输入3元后比较器的变化

如图19所示,在输入三元之后,三元商品区的LED灯变亮,表示可以购买;而四元商品区的LED灯没亮,表示投币价钱没有到达4元,故不可以购买。

找零并显示数目的测试

图20 投币5元,选择2元商品,找零3元

图21 投币10元,选择4元商品,找零6元

如图20所示,投入5元,选择2元商品,最终找零3元、如图21所示,投入10元,选择4元商品,找零6元

五、结论

该设计完成了所有课程设计的要求,收取货款,显示商品种类,选择商品,显示货款以及找零数目。可出售一元至五元商品各四种,合计共20种商品,可以收取1元、5元、10元货币,完全符合自动机快捷,方便的设计思想。

自动售货机控制系统的设计(最终版)

课程设计任务书 设计题目:饮料自动售货机PLC控制系统设计 学院:机械工程学院 专业:机械测控 班级: 姓名: 组员: 指导老师:

【摘要】 本文介绍了自动售货机的基本原理以及工作流程,然后以一次交易过程为例,把交易过程分为几个程序块,然后分别对程序块进行编程。具体说明了可编程序控制器在自动售货机中的作用。程序涉及到了自动售货机工作的绝大部分过程。利用PLC控制的自动售货机提高了系统的稳定性,保证自动售货机能够长期稳定运行。 关键词:自动售货机;可编程序控制器;梯形图 Abstract:This paper introduces the basic principles of vending machines, as well as workflow, and then to a transaction process as an example, the transaction process is divided into several blo ck, and then the block were programmed. Specify the PLC in the r ole of vending machines. Procedures related to the work of the va st majority of vending machine process. PLC control of the use of vending machines enhance the stability of the system to ensure t hat vending machines capable of long-term stable operation. Key words:Vending machines; PLC; Ladder Diagram 一.PLC的概述 1.1 PLC的产生

自动售货机控制系统的设计(已修)

自动售货机控制系统的设计专业:电子信息工程 班级:10级电信(1)班 学号:12010248815 姓名:李浩

1.1系统描述与控制要求 PLC 控制的自动售货机系统主要有三个可以感受到投币量的传感器,六个按钮开关(分别为启动按钮、停止按钮、退币按钮、汽水选择按钮、花茶选择按钮和咖啡选择按钮)三个指示灯,(分别为汽水指示灯,花茶指示灯和咖啡指示灯),和两个七段数码管。传感器用来接收投币信号,传送投币量的多少;按钮开关分别对系统功能进行控制,当投入的钱币够某一种饮料时,按下这种饮料的选择按钮时才会有饮料送出,有剩余钱币时,还可以通过按下退币按钮来找回剩余钱币;三个指示灯分别用来只是哪种饮料可以选择,只有投币量大于或等于这种饮料的价格时,这种饮料的指示灯才会亮;同时,还有两个七段数码管,用于显示当前剩余的总钱币。具体对自动售货机的控制要求如下: 1.自动售货机有3 个投币孔,分别为1 元、5 元和10 元。 2.售货共有3种饮料供选择,分别为汽水、花茶和咖啡。 3.如投币总额超过销售价格,将可由退币钮找回余额。 4.投币总额或当前值显示在7段数码管上。 5.投币值等于或大于12 元时,汽水指示灯亮,表示只可选择汽水。 6.投币值等于或大于15元时,汽水和花茶指示灯亮,表示可选择汽水和茶。 7.投币值等于或大于20元时,汽水、花茶和咖啡指示灯亮,表示3 种均可选择。 8.按下要饮用的饮料按钮,则相对应的指示灯开始闪烁,3s 后自动停止,表示饮料已经掉出。 9.动作停止后按退币钮,可以退回余额,退回金额如果大于10元,则先退10元再退1 元,如果小于10元则直接退 1 元的。 1.2控制工艺分析 自动售货机的基本功能就是对投入的钱币数进行计算, 然后根据运算结果做出相应的判断,看看哪种商品可以进行购买,哪种商品不能购买,本次课程设计的要求是可识别三种钱币,分别是 1 元,5元,10 元,采用三个按钮进行模拟, 自动售货机共出售3 种货物,其价格分别定为12 元,15元,20元,当投币数大于等于货物价格时,该种货物的指示灯亮,表示可以进行购买,3 种货物分别用3个信号灯进行指示.除此之外,本次设计还涉及了显示,找零等功能的实现, 其中显示部分采用数码管进行显示, PLC的外围接口由两个CD4511 连接两个数码显示管,以显示投币总数和购买后的余额;当按下找零按钮后,数码管显示进行清零。整个系统由三个可以感受到投币量的传感器,六个按钮开关,三个指示灯,和两个七段数码管和两片CD4511组成。

自动售货机后台管理系统说明书版本TCNVMMS318一系统功能

自动售货机后台管理系统说明书 版本:TCN VMMS 3.18 一系统功能说明 运营监控、历史记录、系统设置、货机管理、商品管理、报表统计、系统日志 1、运营监控包括:状态监控和销售监控 ○1状态监控显示机器状态,可选择机器组和机器组合查询(如果没有选择机器组,默认查 询当前用户下所有的机器。如果选择了机器组没有选择机器号,查询的是该组下的机器。) ○2销售监控默认显示当天的销售数据,如要查看明细先选中要查询查看机器记录呈蓝色, 然后单击【查询明细】,交易流水显示出货的流水记录,单击每一条出货记录,在右边会显示该笔记录的收、退币信息。 2、历史记录包括:销售明细、出货记录、钱币记录、刷卡记录、报警 ○1销售明细与运营监控和销售监控相似,多了时间段选择。 ○2出货记录需要指定机器查询

○3钱币记录需要指定机器查询 ○4刷卡记录需要指定机器查询 ○5报警需要指定机器查询 3、系统设置包括:角色管理和用户管理 ○1角色管理不同的角色可分配不同的权限,选中要授权的角色单击【授权】,打勾的是该角色拥有的功能。

○2用户管理新增、修改、删除用户,设置用户角色、是否启用。

4、货机管理包括:机器组设置、机器管理、货道管理 ○1机器组设置新增、修改删除机器组,实现机器的分组管理。例如:客户购买了100台机器可分多个组管理。 ○2机器管理可看到当前用户下的所有机器,点击某一行记录或弹出编辑对话框,设置机器的别名、部署地址,选择机器组名。

○3货道管理需要指定机器,点击查询会显示机器的所有货道号,双击某个道会出现对话框,可选择更改货道商品 5、商品管理包括:厂商类型、商品类型、厂商管理、商品信息 ○1在新增商品信息前需要把厂商类型、商品类型、厂商信息录入。

自动售货机控制系统设计

综合课程设计报告书 自动售货机控制系统设计 题目: 学院:机械与电气工程学院 专业:电气工程及其自动化 班级:13级2班 姓名:付少官 学号: 指导教师:崔茂齐 教师职称:讲师 2016年6月29日

机械与电气工程学院综合课程设计任务书 专业:电气工程及其自动化班级:2013-2 姓名:付少官 自动售货机控制系统设计 (1) 摘要 (1)

Abstrct (1) 1、绪论 (1) 2、自动售货控制系统设计总体方案 (2) 元器件选择 (2) 开发工具 (2) 3、自动售货控制系统硬件设计 (3) 硬件总体框图 (3) AT89C51单片机模块(介绍本次设计所到的功能) (3) (3) (4) 本次所应用的管脚说明 (4) 单片机驱动模块 (5) 选择按键模块 (5) (6) (6) 系统显示模块 (6) 数码管显示器 (6) 显示器的驱动电路 (7) 出货以及退币模块 (8) 4、自动售货控制系统软件设计 (8) 系统流程图 (8) 元器件计算 (9) 5、系统总电路图及调试仿真 (10) 总电路图 (10) 系统调试 (11) 系统仿真 (11) 总结及致谢 (14) 参考文献 (15) 附录 (16) 附录1、元件清单 (16) 附录2、源程序 (16) 附录3、自动售货机控制系统protel99se原理图 (23)

自动售货机控制系统设计 付少官 摘要本文详细介绍了自动售货机系统的方案设计、硬件选择、软件规划和编写,并重点描述了自动售货机系统的工作原理、系统设计、软件编程的原则和技巧。该系统以单片机AT89C51芯片为核心,采用集中控制方式实现了对自动售货机全过程的自动控制。本系统选择独立式键盘按键作为货物选择端,实现了投币总数的显示功能。此外,系统程序采用keil软件进行程序的编写和编译,该软件具有编程简单、查错方便、阅读容易等特点。汇编语言是程序的基本语言,具有容易理解,便于记忆和使用等特点。 关键词:自动售货机,AT89C51,LCD显示 Abstrct T his paper describes a vending machine system design, hardware selection, software planning and preparation, and describes the focus of the work vending machine system theory, system design, software programming principles and techniques. AT89C51in the system as the core chip, the realization of a centralized control of the vending machine's automatic control the entire process. Choice of the system as a stand-alone keyboard keys to choose the goods side, the realization of the coin shows the total number of features. In addition, the system program using keil software to prepare and compile procedures, the software programming is simple, convenient error checking, easy to read and so on. Assembly language is the basic language program with easy to understand, easy to remember and use and so on. Key words:Vending Machines,AT89C51,LED Display 1、绪论 自动售货机是一种全新的商业零售形式,从自动售货机的发展趋势来看,它的出现是由于劳动密集型的产业构造向技术密集型社会转变的产物。大量生产、大量消费以及消费模式和销售环境的变化,要求出现新的流通渠道;而相对的超市、百货购物中心等新的流通渠道的产生,人工费用也不断上升;再加上场地的局限性以及购物的便利性等这些因素的制约,无人自动售货机作为一种必须的机器便应运而生了。 随着科技的发展及人们生活水平的提高,自动售货机市场越来越呈现出多元化及个性化的需求。人们通过自动售货机自助购物,这种简单、快捷的方式正逐渐成为市民的一种新的消费时尚,并且满足了人们在当今科技高速发展的现代社会追求高品质生活的需要。自动售货机在城市商业区、写字楼大厅、风景区、车站、码头、繁华街道等公共场所的布设能够美化城市环境,方便群众生活,它已经成为城市各角落的一道亮丽风景线。 自动售货机必将在国内普及,并成为城市现代文明程度的一种象征性标志。本文详细介绍了如何控制、要求进行自动售货机系统的方案设计、硬件选择、软件规划和编写,并重点描述了自动售货机系统的工作原理、系统设计、软件编程的原则和技巧。

售货机说明书

自动售货机控制系统 简介 一、硬件设备概述 1.硬件总装一览 2.android主板 1)主控板参数 2)接线方式 3.控制器 1)前面板接口 2)后面板接口 4.选配硬件设备 1)触摸显示屏 2)红外掉货监测 3)温度监测 4)通讯模块 5)金属键盘 6)其它 二、安卓界面操作说明 1. 购买界面 1)默认广告界面 2)商品选择 3)支付界面 4)掉货并返回广告界面 2. 业主界面 1)业主界面 2)货道检测界面 3)补货界面 4)库存管理 5)默认库存设置 6)机型设置 三、后台使用说明 1.登录界面 2.促销管理 3.广告管理 4.报表管理 5.系统管理 四、联系我们

一、硬件设备概述 1.硬件总装一览 系统:安卓操作系统 操作方式:触摸显示屏、数字按键 电源:直流24V,5A 电机:10*6(支持拓展至300) 出货检测:支持 前面板按键:支持 数字按键板:支持 MDB纸币器和硬币器:支持 硬件设备 产品名称规格型号数量单位备注 安卓主板MX6801-D 1 件系统平台,界面显示、数据处理、通讯控制 电机驱动盒T200 1 件货道电机、柜门电磁阀 控制 触摸屏大小可选型 1 件广告播放、购买界面显示、管理界面 红外掉货监测发射接收 1 件确认货品掉落温度监测DS18B20 1 件温度监测货道电机DSC7757L-12A06 若干件弹簧货道控制电磁阀DSC7757L-12A06 若干件柜门控制 电机拓展板60货道支持4个选配货道电机、柜门电磁阀 控制 3G模块龙尚U7500 1 选配配合SIM卡通讯金属键盘4*3 1 选配数字输入按键IC读卡器自定义充值卡 1 选配刷卡消费 纸币器ICT-NE77 1 选配现金支付 硬币器ICT-MH 1 选配现金支付其他压缩机、电源、开关、电缆、门锁、安装结构件等由客户自行选配

PLC的自动售货机的课程设计

湖南工学院课程设计说明书 课题名称:自动售货机的控制设计 专业名称:自动化 学生班级:自本0701 学生学号: 410070131 学生姓名:冯源 指导老师:陆秀令

课程设计任务书 自动售货机的控制设计 一、控制要求 1.自动售货机有3个投币孔,分别为1元、5元和10元。 2.售货共有3种饮料供选择,分别为汽水、花茶和咖啡。 3.如投币总额超过销售价格,将可由退币钮找回余额。 4.投币总额或当前值显示在7段数码管上。 5.投币值等于或大于12元时,汽水指示灯亮,表示只可选择汽水。 6.投币值等于或大于15元时,汽水和花茶指示灯亮,表示只可选择汽水和花茶。 7.投币值等于或大于20元时,汽水、花茶和咖啡指示灯亮,表示3种均可选择。 8.按下要饮用的饮料按钮,则相对应的指示灯开始闪烁,3s后自动停止,表示饮料已经掉出。 9.动作停止后按退币钮,可以退回余额,退回金额如果大于10元,则先退10元再退1元,如果小于10元则直接退1元的。 二、设计任务 学生根据控制要求,明确设计任务,拟定设计方案与进度计划,运用所学的理论知识,进行自动售货机运行原理设计、硬件系统设计、软件系统设计、创新设计,提高理论知识工程应用能力、系统调试能力、分析问题与解决问题的能力。主要内容包括: 1. 设计出硬件系统的结构图、接线图、时序图等; 2. 系统有启动、停止功能; 3. 运用功能指令进行PLC控制程序设计,并有主程序、子程序和中断程序; 4. 程序结构与控制功能自行创新设计; 5. 进行系统调试,实现自动售货机的控制要求。

三、设计报告 课程设计报告要做到层次清晰,论述清楚,图表正确,书写工整;详见“课程设计报告写作要求”。

-自动售货机的设计

安康学院FPGA/SOPC课程设计报告书 课题名称:自动售货机的设计 姓名: 学号: 院系:电子与信息工程系 专业: 指导教师: 时间:

课程设计项目成绩评定表设计项目成绩评定表

课程设计报告书目录 设计报告书目录 一、设计目的 (1) 二、设计思路 (1) 三、设计过程 (1) 3.1、系统方案论证 (1) 3.2、模块程序设计 (2) 3.3、各单元模块功能介绍及电路设计 (4) 四、仿真结果 (6) 4.1、设计电路图 (6) 4.2、程序生成的原理图 (7) 4.3、仿真图 (8) 五、对应变量的管脚配置表 (8) 六、课程设计体会与建议 (9) 6.1、设计体会 (9) 6.2、设计建议 (10) 七、参考文献 (10)

一、设计目的 1)熟悉学习QuartusII的编程与调试方式,学会在QuartusII中使用波形图进行软件仿真,并使用EDA6000进行硬件仿真与调试。 2)设计一个自动售货机,具有投币和选择商品等功能 二、设计思路 1、售货机模块:该模块能完成货物信息的存储,硬币处理,余额计算。 2、动态扫描模块:功能主要是硬件实验板上让两路信号同时在两个7段数码管上显示出来。 3、输出控制模块:该模块主要是控制OUT和OUT1输出信号来控制阀门打开与找钱,并且控制阀门的打开时间。 设计思路:控制信号输入到售货机模块,售货机进行各项功能操作输出信号在7段数码管 三、设计过程 3.1、系统方案论证 3.1.1、自动售货机系统组成框图 图1 系统组成框图 3.1.2工作原理 1)设计一个自动售货机,此机能出售1元、2.5元、3元、3.5元的四种商品。出售哪种商品可有顾客按动相应的按键即可,并同时用数码管显示售出的是

自动贩卖机电子技术课程设计..

目录 综述 (1) 1方案比较与选定 (2) 2方案设计与分析 (2) 2.1逻辑抽象 (2) 2.2单元模块设计 (3) 2.2.1可供选择商品的指示灯模块 (3) 2.2.2商品输出模块 (5) 2.2.3找零模块 (6) 3整体电路及仿真 (8) 3.1电路原理 (8) 3.2电路仿真 (9) 3.2.1投一元买矿泉水 (9) 3.2.2投一块五买饮料 (10) 3.2.3投两元买饮料并找零 (11) 心得体会 (12) 参考文献 (13)

哈尔滨工业大学电子技术课程设计 综述 自动售货机是能根据投入的钱币自动付货的机器。一般的自动售货机由钱币装置、指示装置、贮藏售货装置等组成。钱币装置是售货机的核心,其主要功能是确认投入钱币的真伪,分选钱币的种类,计算金额。如果投入的金额达到购买物品的数值即发出售货信号,并找出余钱。指示装置用以指示顾客所选商品的品种。贮藏售货装置保存商品,接收出售指示信号,把顾客选择的商品送至付货口。一般售货机的钱币装置由投币口、选别装置、确认钱币真伪的检查装置、计算金额的计算装置和找钱装置组成。七十年代以来,出现了采用微型计算机控制的各种新型自动售货机和利用信用卡代替钱币并与计算机连接的更大规模的无人售货系统,如无人自选商场、车站的自动售票和检票系统、银行的现金自动支付机等。 本设计用JK触发器和基本逻辑门电路通过时序电路的设计方法完成了一种自动贩卖机的设计,该贩卖机能够售卖三种商品,要求系统能够自动完成:投币识别,价格比较,选择商品同时对应的指示灯亮,输出商品和找零过程。 1

自动贩卖机逻辑电路设计 1方案比较与选定 方案一:采用开关控制的计数器,通过加法器投硬币的方式将来确定投入的数值大小,再通过控制减法器的方式来减掉花费的数值,输出需要找回的数值,原理简单,设计也相对简约,思路清晰便于操作。逻辑电路图如图1-1所示。 图1-1 自动贩卖机逻辑电路图 方案二:采用JK触发器和基本逻辑门电路通过时序电路的设计方法完成了一种自动贩卖机的设计,具体思路是通过传感器产生的硬币信号,在电路中输入不同的状态,来表示所投硬币的数值,然后根据所需,通过开关电路,确认各种状态,达到目的。优点是采用简单触发器,和简单门电路就设计出方案。 综上所述,采取方案二,尽管稍微有些复杂,但是可以使我对时序逻辑电路的设计方法有更深的理解。该方案成本低,性价比高。 2方案设计与分析 2.1逻辑抽象 输入变量: 2

自动售货机控制系统的设计

课程设计题目:自动售货机控制系统的设计 目的与任务: (1)进一步掌握MAX+PLUSⅡ软件的使用方法; (2)会使用VHDL语言设计小型数字电路系统; (3)掌握应用MAX+PLUSⅡ软件设计电路的流程; (4)掌握自动售货机的设计方法; (5)会使用GW48实验系统。 内容和要求: 设计一个简易的自动售货机,它能够完成钱数处理、找零、显示、退币等功能。 (1)用3个键表示3种钱,再用3个键表示3种物品。 (2)用2个数码管显示输入的钱数,再用2个数码管显示所找的钱数,以元为单位。 (3)买东西时,先输入钱,用数码管显示钱数,再按物品键,若输入的钱数大于物品的价格,用数码管显示所找的钱数,并用发光二极管表示购买成功。 (4)若输入的钱数少于物品的价格,用数码管显示退出的钱数,并用发光二极管表示购买失败。

设计内容(原理图以及相关说明、调试过程、结果) 一、系统设计方案 根据系统要求,系统的组成框图如图1所示。 (1) 即可,改变设 (a2)控制模块是这个系统的核心模块,它具有判断按键、计算输入钱数总和、计算找零、控制显示四个作用。它的工作原理是每当时钟上升沿到来时,判断哪个按键按下,若按下的是钱数键,则将钱数保存于中间信号COIN,若下次按下的仍是钱数键,COIN的值则加上相应的值并显示于数码管;当物品键按下时,则将COIN的值与物品价格进行比较,然后控制找零。 (3)由于钱数可能大于9,所以译码显示模块的作用就是将钱数译码后用两个数码管显示,这样方便观察。 根据各个功能模块的功能并进行整合,可得到一个完整的自动售货机系统的整体组装设计原理图,如图2所示。 图2 设计原理图 二、系统主要VHDL源程序 (1)分频器的源程序(外部时钟选用3MHz,实现3万分频)

基于VHDL的自动售货机的设计与实现

自动售货机实验报告 一、设计任务 设计一个自动售货饮料机,设每瓶饮料2.5元,投币口有两个,1元硬币(one)或5角硬币(half),每个时刻可以输入其中一种硬币,自动售货机有找零钱功能,oute为出货,outm为找零。 二、设计过程 1.设计思路: 状态定义:s0表示初态,s1表示投入5角,s2表示投入1元,s3表示投入1元5角,s4表示投入2元,s5表示投入2元5角,s6表示投入3元。 输入信号:state_outputs(0)表示输入货物,comb_outputs(1)表示找5角硬币,输入信号为1 表示投入硬币,输入信号为1表示未投入硬币。 输出信号:comb_outputs(0)表示输出货物,comb_outputs(1)表示找5角零钱,输出信号为1表示输出货物或找零,输入信号为0表示不输出货物或找零。 根据设计要求分析,得到状态转化图如下图所示,其中状态为s0、S1、S2、S3、S4、S5、S6;输入为state_inputs(0,1);输出为comb_outouts(0,1);输入仅与状态有关,因此将输入写在状态圈内部。

2.设计步骤: (1)创建工程。打开QuartusII ,创建一个新的工程并命名。根据实验室条件,选择的芯片为cyclone 系列中的EP1C6Q240C8芯片。 00

输入VHDL文本文件。新建文本文件VHDL file。输入程序并保存。程序如下:library ieee; use ieee.std_logic_1164.all; entity autosell is port(clk,reset :in std_logic; state_inputs:in std_logic_vector(0 to 1); comb_outputs:out std_logic_vector(0 to 1)); end autosell; architecture be of autosell is type fsm_st is(s0,s1,s2,s3,s4,s5,s6); signal current_state,next_state:fsm_st; begin reg:process(reset,clk) begin if reset='1'then current_state<=s0; elsif rising_edge(clk)then

plc自动售货机说明书

《电气控制与PLC》综合训练 说明书 专业名称:电气自动化技术 班级: 学号: 姓名: 指导教师: 日期:年月日

《电气控制与PLC》综合训练课程设计评阅书

本实验设计基于西门子S7-200系列PLC进行自动售货机控制系统的设计,阐述了自动售货机系列设计的基本原理及工作流程,按后以一次交易过程为例,把交易分成了几个程序模块,分别用PLC进行编写。文中的梯形图使用西门子PC梯形图编译软件STEP7来进行编写,并完成了PLC外部接线图。利用PLC控制的自动售货机提高了系统的稳定性,保证自动售货机能够长期稳定运行。 关键词:售货机;PLC;控制系统

1课题描述 (1) 2设计过程 (2) 2.1自动售货机的设计要求 (2) 2.2控制系统的I/O点及地址分配 (2) 2.3 PLC系统选型 (2) 2.4 PLC外围接线图 (5) 2.5系统程序设计 (6) 3总结 (9) 4参考文献 (10)

1 课题描述 自动售货机的概况 自动售货机(vending machine),能根据投入的钱币自动付货的机器。自动售货机是商业自动化的常用设备,它不受时间、地点的限制,能节省人力、方便交易。近几年,随着我国商品市场的不断繁荣和城市现代化程度的不断提高,自动售货机也已悄然步入了我国的大中城市。在国家相关政府部门的大力支持下,我国的自动售货机产业以超常规的速度迅猛发展,并带动了一批新的服务业、物流运输配送业的快速发展,丰富了我国的商业产业结构,开创了一个全新的自动销售和自助服务时代。我国自动售货机市场到2008年以后将进入发展期。 本文介绍一种能自动销售汽水和咖啡的售货机的PLC控制系统。主要从控制要求、控制系统的I/O点及地址分配、PLC系统选型、电气控制系统原理图、系统程序设计、PLC的安装、电源设计、系统的接地、PLC 输出端保护等方面来展开说明与论述。

自动售货机报告C语言课程设计

自动售货机图形模拟系统 需求分析 课题名称:自动售货机图形模拟系统 小组成员:朱伟鑫U201314490 班级:测控1301班 指导老师:周纯杰、何顶新、彭刚、周凯波 上交日期:贰零壹肆年玖月贰拾壹日

目录 1.引言--现实中的自动售货机 (2) 2.运行环境 (3) 3.功能设计 (3) 3.1触摸屏 (3) 3.2自动换零 (3) 3.3销量统计 (3) 3.4提前补货 (4) 3.5显示商品信息 (4) 3.6自动售货机网络 (4) 3.7无响应自动处理 (4) 4.软件系统需求分析 (4) 4.1图形显示 (4) 4.2菜单模块 (5) 4.3交易模块 (5) 4.4管理模块 (5) 5.系统流程图 (5) 6.时间安排 (6)

自动售货机图形模拟系统 朱伟鑫 课题要求 以自动售货机为对象,图形化模拟投币、找零、出货的基本流程;能对售货机的商品进行管理:补货、查询、统计等功能。 1引言--现实中的自动售货机 自动售货机是能根据投入的钱币自动付货的机器。自动售货机是商业自动化的常用设备,它不受时间、地点的限制,能节省人力、方便交易。是一种全新的商业零售形式,又被称为24小时营业的微型超市。能分为三种:饮料自动售货机、食品自动售货机、综合自动售货机。 从自动售货机的发展趋势来看,它的出现是由于劳动密集型的产业构造向技术密集型社会转变的产物。大量生产、大量消费以及消费模式和销售环境的变化,要求出现新的流通渠道;而相对的超市、百货购物中心等新的流通渠道的产生,人工费用也不断上升;再加上场地的局限性以及购物的便利性等这些因素的制约,无人自动售货机作为一种必须的机器便应运而生了。 从广义来讲投入硬币、纸币、信用卡等后便可以销售商品的机械,从狭义来讲就是自动销售商品的机械。从供给的条件看,自动售货机可以充分补充人力资源的不足,适应消费环境和消费模式的变化,24小时无人售货的系统可以更省力,运营时需要的资本少、面积小,有吸引人们购买好奇心的自身性能,可以很好地解决人工费用上升的问题等各项优点。 2运行环境 根据课题要求,在DOS环境下,用TC或BC开发软件编写C语言程序模拟自动售货机的工作,因此本系统将会有较高的兼容性,能够在大多数操作系统上运行,包括Windows XP系统和Windows732位系统,但Windows764位操作系统除外。

基于PLC的自动售货机的设计说明

一、实训题目: 自动售货机控制 实训目的及要求: 1、掌握欧姆龙PLC的指令,具有独立分析和设计程序的能力 2、掌握PLC梯形图的基本设计方法 3、培养分析和解决实际工程问题的能力 4、培养程序设计及调试的能力 5、熟悉传输带控制系统的原理及要求 实训设备:PLC设备器 二、总体方案设计 自动售货机设计中,从控制角度来说可采用继电器控制、单片机控制和可编程控制器控制。 (一)方案论证 1.方案一继电器控制 它的控制思想是以继电器为核心,采用硬接线方式把各种继电器、定时器、接触器及其触点按照一定逻辑关系连接起来组成控制系统,控制相关生产机械工作。例如要通过接触器实现两台电机设备的同步运行,采用继电器控制,其控制系统简图如图1。 SF1 KF 电电 源源 母母 线线 图1 继电器控制系统简图

由图可知它的三条支路是并行工作的,当按下按钮SF1,中间继电器KF得电,KF的两个触点闭合,接触器QA1、QA2同时得电并产生动作,所以其控制逻辑关系为由继电器、接触器硬接线(硬件)方式。 2.方案二单片机控制 它的控制系统是基于芯片级的系统,设计开发一个单片机系统,需要先设计硬件系统,画硬件电路图,制作印刷电路板,购置各种所需的电子元器件,焊接电路板,进行硬件调试,进行抗干扰设计和测试的大量工作;需要使用专门的开发装置和低级编程语言编制控制程序,进行系统联调。 3.方案三可编程控制器控制 它的控制思想是采用可编程的存储器,用来在其部存储逻辑运算、顺序控制、定时、计数和算术运算等操作的指令,并通过数字式和模拟式的输入和输出,控制各种类型机械的生产过程。PLC是一种工业控制计算机,故它的工作原理是建立在计算机工作原理基础之上,即通过执行反映控制要求的用户程序来实现的,这种方案的控制功能接线图如图2。

自动售货机PLC控制设计方案

自动售货机PLC控制设计方案1.可编程逻辑控制器简介 可编程逻辑控制器(Programmable Logical Controller),简称PLC,是综合了计算机技术、自动控制技术和通讯技术而发展起来的一种新型的工业控制装置,它具有可靠性高、编程简单、维护方便等优点,已在工业控制领域得到广泛的应用。因为自动售货机作为社会上完成商品零售和综合服务的独立设施,要求它的结构必须可靠、稳定和执行效率高。 PLC是以CPU为核心,综合了计算机技术和通信技术发展起来的一种通用的工业自动控制装置,是现代工业控制的三大支柱(PLC 、机器人和CAD / CAM )之一。目前,可编程控制器在国外已广泛应用于钢铁、石油、化工、电力、建材、机械制造、汽车、轻纺、交通运输、环保等各行各业。 PLC的发展与计算机技术、半导体技术、控制技术、数字技术、通信网络技术等高新技术的发展息息相关,这些高新技术的发展推动了PLC的发展,而PLC的发展又对这些高新技术提出了更高更新的要求,促进了他们的发展。本次设计就在于结合PLC的种种优点联系实际情况来弥补原有系统的不足,利用PLC控制的自动售货机提高了系统的稳定性,保证了自动售货机能够长期稳定的运行。

2.自动售货机简介 自动售货机最早出现在二十世纪五、六十年代的西欧,其中英国是较早实行自动售货机售货的国家之一。1942年,在食品销售中首先推广了自动售货的销售方式。1950年,英国食品杂货行有500家采用自动售货机售货。1969年,采用自动售货机售货的商家增加到23000家,销售的商品扩展到唱片、文化用品、食品、香烟等多个方面。进入70年代后,约有40多万家香烟、饮料店采用自动售货机。1980年英国有50余万台自动售货机,年销售额达8.81亿英镑。70年代后,日本、欧美等发达国家和地区自动售货机迅猛的发展,短短30年,发达国家自动售货机产业已发展到相当大规模。自动售货机被广泛地布放于车站、油站、机场、码头、写字楼、宾馆、娱乐场所及大街小巷和公路旁,人们通过自动售货机可以买到食品、香烟、报纸、饮料、票、卡甚至鲜花和小宠物等物品。 自动售货机实现了商品需求化、性能多样化的发展,原先其只能出售有限商品品种,而如今呈现了继百货公司、超级市场、便民店之后,以消费者与售货机“一对一”自动售货的无店铺销售状态。 目前自动售货机是集声、光、机电一体化的高新智能化产品,在我国也开始得到应用。在中国人们可以看到现代化的自动售货机摆放在一些大商场门口、繁华街道两旁、公园入口处以及其它热闹的场所。自动售货机的新奇、文明、高档、昼夜服务、占地小、灵活方便深受许多地区市民的青睐,甚至出现许多排队购买的现象。专家测算,中国的自动售货机在市场容量最保守算应该有四十七万台,一年的销售额可达三百

自动售货机设计说明书2015.3.3

唐山工业职业技术学院 毕业设计说明书 题目基于PLC的自动售货机控制系统的设计 系别自动化工程系班级机电班 姓名学号 指导教师 2015年 03月02日

目录 摘要 (3) 关键字 (3) 一、概述 (4) 1.1自动售货机设计目的和意义 (4) 1.2 PLC 在自动售货控制系统中的应用 (4) 1.3自动售货机实现的基本功能 (5) 二、功能需求分析 (6) 2.1课题研究背景 (6) 2.2自动售货机的应用与现状 (7) 2.3自动售货机的PLC控制设计原理 (7) 三、具体设计 (8) 3.1 PLC各I/O地址分配 (8) 3.2 PLC的选择 (9) 3.3自动售货机设计的基本内容 (10) 3.4 流程设计 (10) 3.5 PLC的外部接线图 (12) 3.6 自动售货机设计的梯形图 (12) 3.7 MCGS组态程序设计说明 (20) 3.8 调试结果、调试中出现的问题及解决方法 (20) 总结 (21) 参考文献 (22)

标题:基于PLC的自动售货机控制系统设计 摘要 本论文设计的是基于西门子S7-200系列PLC构成的自动售货机监控系统,本文阐述了自动售货机的来源与发展,以及它在国内外发展的现状,对自动售货机的方案进行了论证,介绍了PLC的基本组成、特点以及工作原理,对基于西门子S7-200系列PLC构成的自动售货机系统整体设计过程进行较为细致的论述。 文中详尽地介绍了自动售货机的组成部分,并完成了PLC外部接线图,在PLC梯形图的编写时,文中采用的是西门子PLC梯形图专用编译软件STEP7来进行编写。介绍了自动售货机的基本原理以及工作流程,然后以一次交易过程为例,把交易过程分为几个程序块,然后分别对程序块进行编程。具体的说明了可编程控制器在自动售货机中的作用。 关键字:自动售货机程序PLC

软件工程课程设计(自动售货机)

《软件工程》课程设计报告

一、问题描述 (一)关于自动售货机 自动售货机像磁卡、银行柜员机一样,以方便、新颖、文明、昼夜服务等特点,成为发达国家不可缺少的便民配套设施。如今的自动售货机可以为顾客提供多种服务。顾客可以根据自己的需要选择商品并投入钱币,售货机接收钱币,售出商品。 (二)自动售货机的设计 自动售货机系统是一种无人售货系统。售货时,顾客把硬币投入机器的投币口中,机器检查硬币的大小、重量、厚度及边缘类型。有效的硬币是一元币、五角币、一角币、五分币和一分币。其它货币都被认为是假币。机器拒收假币,并将其从退币孔退出。当机器接收了有效的硬币之后,将之送入硬币储藏器。顾客支付的货币根据硬币的面值进行累加。 自动售货机装有货物分配器,每个分配器中包含0 个或多个价格相同的货物。顾客通过选择货物分配器来选择货物。如果有货,且顾客支付的货币值不小于该货物的价格,货物将被分配到货物传送孔送给顾客,并将找零返回到退币孔。如果无货,则和顾客支付的货币值相等的硬币将被送到退币孔。如果顾客支付的货币值小于所选货物的价格,机器将等待顾客投进更多的货币。如果顾客决定不买所选择的货物,他投放进的硬币将从退币孔中退出。 二、需求分析 (一)UML自动售货机系统功能需求: 自动售货机系统是一种无一元等3个硬币投币口。顾客确认购货后,售货机可根据硬币的面值进行累加。 自动售货机装有货物分配器。每个货物分配器中包含零个或多个价格相同的货物。顾客通过

选择货物分配器来选择货物。如果货物分配器中有货物,而且顾客支付的货币值不小于该货物的价格,货物将被分配到货物传送孔送给顾客,并将适当的零钱返回到退币孔。如果分配器是空的,则和顾客支付的货币值相等的硬币将被送加到退币孔。如果顾客支付的货币值少于所选择的分配器中的货物的价格,机器将等待顾客投进更多的货币。如果顾客决定不买所选择的货物,将所投硬币从退币孔退出。 (二)UML自动售货机系统控制要求: 根据售货机自动控制系统的流程可以知道自动售货机的主要系统包括:计币系统、比较系统、选择系统、饮料供应系统、退币系统和报警系统。 1.计币系统: 当有顾额色买饮料时,投入的钱币经过感应器,感应器记忆投币的个数关且传送到检测系统(即电子天平)和计币系统。只有当电子天平测量的重量少于误差值时,允许计币系统进行叠加钱币,叠加的钱币数据存放在数据寄存器中。如果不正确时,认为是假币。既定出投币,既定出系统,等待新顾客。 2.比较系统: 投入完毕后,系统会把钱币数据和可以购买饮料的价格进行区间比较,当投入的钱币小于2元时,指示灯亮,显示投入的钱币不足。此时可以再投币或选择退币。当投稿的钱币在2~3元之间时,汔水选择指示灯长亮。当大于3元时,汔水和咖啡的指示灯同时长亮。此时可以选择饮料或选择退币。 3.选择系统: 比较电路完成后选择电路指示灯是长亮的,当按下汽水或咖啡选择时,相应的选择指示灯由长亮转为以1秒为周期闪烁。当饮料供应完毕时,闪烁同时停止。

自动售货机说明书

多功能自动售货机 设 计 说 明 书 学校院系:晋中学院机械系 团队:奋进队 指导教师:曲晓华 学生成员:贺日升,梁红,柴森宏,李鹏 参赛方向:工业与工程设计大赛 竞赛项目:工业设计 设计时间:2013.6.20

目录 一、引言- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - (1) 二、商品送出机构- - - - - - - - - - - - - - - - - - - - - - - - -(1) 三、送出机构优化- - - - - - - - - - - - - - - - - - - - - - - - -(3) 四、设计实例- - - - - - - - - - - - - - - - - - - - - - - - - - - (6) 五、结语- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -(7) 六、参考文献- - - - - - - - - - - - - - - - - - - - - - - - - - - (7)

多功能自动售货机说明书 一、引言 自动售货机无需人看守、自动地提供商品销售服务。它作为一种便利、快捷、新型的销售模式,已经得到了人们广泛的重视和青睐,并越来越多地被应用在许多城市中。为推动经济和社会发展起到了显著的作用。自动售货机是集机、电、光于一体的商业自动化设备。根据所售商品的形状不同可分为盒式自动售货机和罐式自动售货机,而商品的送出机构是决定商品是否销售成功的最终关键。因此。本文对已有的一些送货机构进行了简要的分析,并以执行更可靠、造价成本更低、充分利用空间、设计更为合理为原则,针对商品的送出机构进行了研究和改进。 二、商品送出机构 目前,应用于自动售货机上的商品送出机构种类较多,下面是我们设计的一些较典型的送出机构: 1热牛奶槽轮输送机构: 此送出机构把罐体商品放在螺旋导轨上。并在货道底部开设出口槽。由电机带动螺旋导轨转动。当罐体转到开口槽时便出槽下货。这种送出机构要求电机的转矩较大,造价成本较高。设计的罐体送出机构主要有槽轮、推拉杆、推拉销、直线步进电机和前后两边侧板构成。其中槽轮上槽弧的半径为罐体的半径。货道宽度为罐体的直径。如下送出机构示意图1所示 图1送出机构 2.冷饮叶轮输送机构: 推拉电磁铁推动插销,叶轮被卡住的地方放开了,在瓶子的自重作用下,叶轮旋转1/4圈,最下面的瓶子滚下来,同时在叶轮的作用下又有一个瓶子在自重的作用下滚到准备处时,推拉电磁铁插上插销,卡住叶轮,使之停止转动,回到下一次工作时的状态。 1

饮料自动售货机系统的分析与设计

饮料自动售货机系统的分析与设计 1.系统的分析 1.1 需求分析描述 自动售货机像磁卡电话、银行柜员机一样,以方便、新颖、文明、昼夜服务等特点,成为便民配套设施。如今的自动售货机可以为顾客提供多种服务。顾客可以根据自己的需要选择商品并投入钱币,售货机接收钱币,售出商品。 自动售货机是一种无人售货系统。售货时,顾客投入硬币,售货机进行真假币的检查,若是假币拒绝接收,若是有效硬币则进行累加。售货机装有若干个含有相同价格货物的货物分配器。顾客可以通过货物分配器选择货物,如果有被选货物,并且顾客支付的货币值不小于货物的价格,货物将被传送给顾客,同时余额返回到退币口。如果货币值小于货物价格,则等待投币,此时如果顾客取消本次活动,那么之前所投的货币将从退币口中退出,返回给顾客。 1.2 系统功能结构图 图1 体统功能结构图 1.3 用例图 图2 饮料自动售货系统用例图 1.4 系统类图的建立 系统的类图揭示了系统的整个结构。分析时先产生问题域中的对象,再抽象出类。在本系统中,类有:自动售货机、顾客、货币、货币累加器、货物、货物分配器、显示屏、取消按钮、选择按钮、事务、更新。 图3 饮料自动售货系统类图 1.5 动态模型的建立 从系统模型的角度,静态模型定义了系统的结构和组成。任何实际的系统都是活动的,都通过系统元素间的互动来实现系统。面向对象的许多方法在建立动态模型时首先写各种情况时的交互行为脚本,通过对脚本的分析描绘动态模型。脚本是用例的一次具体执行过程,一般包括正常情况脚本和异常情况脚本。系统的卖货物用例中,顾客投入硬币,系统检查硬币的有效性,然后对有效硬币进行累加,顾客选择货物及其数量,系统送出货物;这系列步骤表示正常情况脚本。异常脚本为:顾客投入有效硬币,然后选择货物,若货物量不够,系统将投入的硬币从退币口退出。在UML中,可以利用序列图来表示脚本。下面是卖货物用例的一次具体交互的序列图。 图4 自动卖货物的顺序图 由于本系统中,自动售货机本身的状态比较复杂,因此建立其状态图。 图5 饮料自动售货机状态图 2.系统的设计 2.1 数据库设计 图6 数据库图 数据库的描述:钱币有面值和数量两种属性,其中,面值是主属性。送货员有用户名和密码两种属性,其中用户名是主属性,当添加新的送货员时,如果用户名已经存在,则会出错。饮料有类型、数量和价格三种属

自动售货机课程设计报告书

课题一: 饮料自动售货机控制系统设计 一. 饮料自动售货机概况及控制要求 饮料自动售货机有两种已经配制好的饮料储液桶,一种为汽水,另一种为橙汁,分别由两个电磁阀控制放入杯中的饮料品种。 1、自动售货机可投入1角、5角、1元的硬币。 2、当投入的硬币总值超过2元时,汽水指示灯亮;当投入的硬币总值超过 3元时,汽水及橙汁指示灯亮。 3、当汽水指示灯亮时,按放汽水按钮,则排出汽水,8 s后,自动停止, 且汽水指示灯熄灭。 4、当橙汁按钮指示灯亮时,按放橙汁按钮,则排出橙汁,8 s后,自动停 止且橙汁指示灯熄灭。 5、若投入硬币总值超过按钮所需的钱数(汽水2元,橙汁3元)时,找钱 指示灯亮,并找出多余的钱。 二.设计任务 1. 设计和绘制电气控制原理图或PLC I/O接线图、功能表图和梯形图,编写指令程序清单。 2.选择电气元件,编制电气元件明细表。 3.设计操作面板电器元件布置图。 4.上机调试程序。 5.编写设计说明书。

目录 第1章概述 (6) 第2章总体设计方案 (7) 第3章硬件电路设计 (8) 3.1 PLC型类选择 (8) 3.2 PLC I/O口分配 (8) 3.3 系统硬件接线图 (8) 第4章软件设计 (10) 4.1 程序流程图 (10) 4.2 梯形图 (10) 第5章实验调试 (14) 第6章总结 (15) 参考文献 (16) 附录A 梯形图……………………………………………………. 附录B 语句表 (17)

第1章概述 饮料自动售货机有两种已经配制好的饮料储液桶,一种为汽水,另一种为橙汁,分别由两个电磁阀控制放入杯中的饮料品种。如图所示。 1、自动售货机可投入1角、5角、1元的硬币。 2、当投入的硬币总值超过2元时,汽水指示灯亮;当投入的硬币总值超过 3元时,汽水及橙汁指示灯亮。 3、当汽水指示灯亮时,按放汽水按钮,则排出汽水,8 s后,自动停止, 且汽水指示灯熄灭。 4、当橙汁按钮指示灯亮时,按放橙汁按钮,则排出橙汁,8 s后,自动停 止且橙汁指示灯熄灭。 5、若投入硬币总值超过按钮所需的钱数(汽水2元,橙汁3元)时,找钱 指示灯亮,并找出多余的钱。

相关文档
最新文档