篮球比赛计时器的设计

篮球比赛计时器的设计
篮球比赛计时器的设计

课程设计说明书

课程设计名称:电子技术课程设计

题目:篮球比赛计时器设计

学院:电气与电子信息学院

学生姓名:

专业:信息工程

学号:

指导教师:

日期:年 7 月 13 日

成绩

篮球比赛计时器设计

摘要:本设计是简单应用脉冲数字电路对篮球比赛计时器进行设计,本设计能够对篮球比赛进行24秒为例处罚倒计时以及对每节比赛的12分钟进行倒计时。本电路主要由五个模块构成:计数器、秒脉冲发生器、控制电路、译码显示电路和报警电路。控制电路直接控制计数器开始计数、暂停、连续计数等功能,译码显示电路的显示等功能。当控制电路的置数开关闭合时,在数码管上会显示数字24,启动电路后每当一个秒脉信号输入到计数器时,数码管上的数字就会自动减1,当计时器递减到零时,报警电路发出光电报警与蜂鸣信号。本设计所具备的功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。

关键词:计数器,24秒倒计时,译码显示电路,控制电路,报警电路

Abstract:The design is simple application of pulse digital circuit design of basketball game timer. This design to the game of basketball to 24 seconds for cases of punishment countdown and on 12 minutes per game countdown.

This circuit is mainly composed of five modules: counter, second pulse generator, control circuit, decoding display circuit and alarm circuit. Control circuit direct control counter to start counting, pause, continuous count and other functions, decoding display circuit display and other functions. When the control circuit of the switch is closed, in the digital tube can display the number 24, start circuit whenever a seconds pulse signal input to the counter, digital tube digital will automatic minus 1. When the timer is reduced to zero, the alarm circuit of a photoelectric alarm signal and the buzz. The function of this design has realized the function of time tracing in many special occasions. It also has a wide application value in social life.

Keywords: Counter, 24 seconds countdown, decoding display circuit, control circuit, alarm circuit

目录

1前言 (3)

1.1设计背景 (3)

1.2设计目标 (3)

1.3实施计划 (3)

1.4必备条件 (3)

2 总体方案设计 (4)

2.1方案比较 (4)

2.1.1 方案一 (4)

2.1.2 方案二 (6)

2.2方案论证 (6)

2.3方案选择 (8)

3单元模块设计 (9)

3.1各单元模块功能介绍及电路设计 (9)

3.1.1 秒脉冲发生器的设计 (9)

3.1.2 12分钟倒计时器的设计 (9)

3.1.3 24秒倒计时器的设计 (11)

3.1.4 节次电路的设计 (12)

3.1.5 报警和提示音电路 (12)

3.2 特殊器件的介绍 (13)

3.2.1 计数器74LS192 (13)

3.2.2 BCD码7段译码器CD4511 (14)

3.2.3 555时钟芯片 (15)

4系统调试 (16)

4.1调试环境 (16)

4.2硬件调试 (16)

4.2.1 功能调试 (16)

4.2.2 秒脉冲发生器 (16)

4.2.3 24秒倒计时 (18)

4.2.4 12分倒计时器 (18)

4.2.5 节数计数单元 (19)

4.2.6 篮球比赛计时器置数功能 (20)

4.2.7 篮球比赛计时器暂停功能 (20)

4.2.8 篮球比赛计时器报警响音功能 (21)

5结论 (22)

6总结与体会 (23)

7谢辞 (24)

8参考文献 (25)

附录 (26)

1前言

1.1 设计背景

随着经济的发展,人们物质生活逐渐丰富,对精神文明的追求也同样不断提高,人们都希望拥有好的心态以及强健的体魄。于是人们通过体育运动来锻炼自己,特别是自从21世纪姚明进入NBA之后,中国便刮起了阵阵篮球风,青少年对篮球的热情也是日渐高涨。为此,在充分理解篮球比赛规则的基础上,设计出简易的篮球比赛计时器,此篮球计时器简单,精度高,充分体现了篮球比赛的公平公正,适合国家篮球运动普及和青少年篮球运动的发展。对我们专业的学生来说,这个课题比较适合现阶段的我们来设计。

1.2 设计目标

设计的计时器具有24秒倒计时跟12分钟倒计时功能的篮球比赛计时器篮球比赛计时器,实际上是一种多功能倒计时装置,它包括12 min、24 s倒计时,按键启停功能和自动音响提示等。该电路主要由秒信号发生电路、启停电路、声响电路、门控电路、显示电路和计数电路等组成。

①篮球比赛上下半场四节制,每节12 min,要求能随时暂停,启动后继续

计时,一节比赛结束后可清零。

②按篮球比赛规则,进攻方有24 s为倒计时。要求进攻方得到发球权后,

必须在24 s内完成一次进攻,否则将球权判给对方,因此需要一个具有

24 s的倒计时功能。

③“分”、“秒”显示用LED数码管,应配用相应译码器。

④用扭子开关控制计时器的启动/暂停。

⑤24s计时时间到、每节结束和全场结束能自动音响提示。

1.3 实施计划

首先就是要理清实现设计的框图,在总体框图的指导下,作出具体的电图,由要设计实现的功能,计算出各个电路元件的值,并逐一对各个元件进行选择。最后用仿真软件对设计进行仿真操作,调试软件,并对照出的设计有误的地方进行必要的修正,确保设计的正确。

1.4 必备条件

要实现该电路的设计,首要的就是要制定出设计的实现框图,并在老师的指导和参照资料的条件下作出具体电路图,选定实现实现该设计的各种元器件。另外,还应由必要的软件帮助设计的完善和校验。例如本次设计,我们就用到了protues这一软件来辅助我们的篮球比赛计时器的设计和调试。

2 总体方案设计

对同一种目的的实现,可以用不同的方案,下面就着重介绍以下两种方案对同一目的的实现方法。并比较两种方案的优劣。

篮球比赛计时器的主要功能包括:12分钟倒计时、进攻方24秒倒计时计时暂停,重新开启和结束警报提示。该计时系统由以下四个电路模块组成:1秒时基产生器:这部分利用32.768KHz需要通过分频器,最终产生1赫兹的电信号,驱动整个电路的运作。这一模块主要是利用CD4060和CD4027的锁存和分频功能来实现。

12分钟倒计时:这部分电路完成12分钟倒计时的功能,比赛准备开始时,屏幕上显示12:00字样。当比赛开始时,倒计时从12:00开始逐秒递减到00:00。这一模块主要利用双向计数器74LS192的减计数功能来实现。

攻方24秒倒计时:这部分电路与12分钟倒计时功能类似,当比赛准备开始时,屏幕上显示24秒字样,当比赛开始后,倒计时从24逐秒倒数到00。这一模块主要也是利用双向计数器74LS192来实现。

节数记次:四个LED分别表示四场节次,根据比赛场次的转换,用适当的方法使这四个LED依次自动指示四场节次。

警报提示:当两个计数器中任一个计时到零时,BO端出现低电平。通过和二极管作用,发光二极管亮,起到报警作用。

2.1方案比较

2.1.1方案一

系统框图如图2-1所示:

工作原理简述:接通电源后,场外裁判将计时器开关拨到"置数"状态,锁存器处于禁止状态,计时显示器显示12:00和24数字。主裁判抛球时,计时开始,若双方有暂停或犯规,裁判暂停计时,此时计数被锁存,暂停结束,计数继续。此外,24秒进攻时间到时,报警提示响起,转而进入下一个24秒进攻阶段,每小节时间结束时,报警同样响起,节数增加一。

2.1.2方案二

图2-2方案二的系统框图

工作原理简述:接通电源后,场外裁判拨到单节"置数"状态,使的显示屏上显示12:00和24的字样,当主裁抛球,比赛开始,同时计时开始,12分和24秒倒计时,如果在比赛当中有犯规或其他情况需要暂停,裁判按下“暂停”按钮,时间被锁存器锁存,等罚完球或者情况处理完后,按下按钮,24秒清零,计时继续。如果在比赛当中出现进攻时间超过24秒。此时警报响起,报警灯提示。如果比赛时间少于24秒,则以比赛时间为准,忽略进攻时间。一旦12分钟计时结束,同样报警提示。当下一节比赛开始,比赛节数就加一,直到四节比赛结束。

2.2方案论证

方案可行性分析:

对于方案一不可行,因为缺少几个重要的计时器的功能;报警声电路和提示音电路不能用一个电路实现,是因为报警声是连续的,因此需要一个单稳态电路和一个多谐震荡电路。而提示音是间断的,只需一个多谐震荡电路即可。并且它们的工作状态不同,对其元件的参数设置也就不同。至于置数功能,不能将24

秒进攻时间结束后很好的置回到24秒。

对于方案二可行,是在方案一的基础之上进行修改和完善的。实现报警电

路和提示音电路分开,以达到各自的工作状态;使计数器的功能更加独立完善,彼此不会产生干扰。另外,更加具有操作性。

2.3方案选择

比较方案一和方案二可以看出,方案二比方案一更加可靠,而且使用到的元器件也都是我们所常用到的一些元件比如:555,CD4511、74LS192以及开关、电容、电阻、各种门电路等一些我们所学过,用过的基本器件;从操作行和可行性上说方案二思路清晰,成品的使用方便等优势;从自身的势力上来说,方案二略显复杂一些,但由于本次设计是第一次将数字电子电和模拟电子运用于实际的电路设计中,我们尚未完全的掌握这2门知识,对电路还不能达到最优化的设计,所以综合各个方面的因素,我选择了方案二作为本次课题的主要研究对象,本文

也将注重介绍方案二的设计方法。

3单元模块设计

本节主要介绍系统各单元模块的具体功能、电路结构、工作原理、以及各个单元模块之间的联接关系;同时本节也会对相关电路中的参数计算、元器件选择、以及核心器件进行必要说明。

3.1各单元模块功能介绍及电路设计

本系统主要分为7个单元模块,它们分别是:秒脉冲发生器的设计、12分钟倒计时器的设计、24秒倒计时器的设计、译码电路和显示器的设计、节次电路的设计、报警和提示音电路和时序控制电路。各单元模块功能及相关电路的具体说明如下。

3.1.1秒脉冲发生器的设计

该部分电路要完成一个功能,也是该设计的驱动部分:由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源,电路图如下:

图3-1 555多谐振荡器

3.1.2 12分钟倒计时器的设计

该部分主要由555定时器、十进制同步加/减计数器74LS192减法计数电路、CD4511译码电路和4个7段数码管及相关电路组成。运用2片可逆计数器74LS192来构成60进制的减计数器。这个计数器的低位即个位,不需要搭接任何反馈电路而直接运用74LS192芯片的减计数功能:时钟脉冲接到DN端,置数、清零端无效,即可以实现十进制的倒计时计数功能。而最低位的计数变化应当与时钟脉冲的变

化同步。所以,原则上应当将时钟脉冲直接引到这片192计数器的减计数时钟脉冲输入端DN。该计数器的高位即十位,与低位的计数进制不相同。由于时间的分和秒都是60进制,所以这里的计数芯片74LS192必须要接成六进制的计数器。这里,我选用反馈置数的方法来实现这个功能。

置数时,输出的数是与输入的数是一样的,所以我设置的数是5(二进制0101),这样,当计数器从0变到9时,由于进行了异步置数,9就在瞬间变成了5,计数输出的结果就变为0→5→4→3→2→1→0,实现了六进制的功能。

12分钟倒计时分部分。也是运用两片可逆计数器74LS192来构成减计数器。在两片计数器的连接上,与秒部分一样。也是把低位的借位信号作为高位的时钟脉冲进行连接。而低位计数器的时钟脉冲则是用秒部分高位计数器的借位输出信号来充当的。

运用以上两个计数器组合,就在低位计数器从0变到9或从0变到5的瞬间,在它的借位输出端出现一个电平的上升脉冲沿,从而使高位的计数器倒倒计一个数,实现倒计时功能,电路图如下:

图3-2 12分倒计时器

3.1.3 24秒倒计时器的设计

24秒计数器的倒计时功能。用两片74LS192分别做个位(低位)和十位(高位)的倒计时计数器,由于本设计只需要从开始时的“24”倒计到“00”然后停止,所以可以直接运用十进制的74LS192进行减计数。因为预置的数不是“00”,所以我选用置数端PL来进行预置数。低位的借位端TCD输出低电平用作高位的时钟脉冲,电路图如下:

图3-3 24秒倒计时器

3.1.4 节次电路的设计

将这四个D触发器依次命名为D1、D2、D3、D4。四个D触发器级连,前一个输出送入下一个输入,用一个共同的时钟脉冲,形成同步动作。为了保证每次输出只有一位是高电平,用个或门把Q2、Q3进行或运算后,送入或非门与Q1进行运算后送回D1。当电源刚接通、开关G没有接地,整个计时系统没有进行工作,Q1-Q4为低电平(0000状态),D=1,四个LED都不亮。合上G,接高电平,这样,当G接通时就有了一个电平的上升沿跳变,Q1=D1=1;1000状态,LED1亮,指示第一节比赛。电路进入循环状态,倒计时电路重置一次,该电路状态转换一次,实现节次自动指示,电路图如下:

图3-4 节次显示器

3.1.5 报警和提示音电路

报警电路和提示音电路,都是由555构成单稳态触发器和多谐振荡器来实现的,共需6个电容,4个电阻,2片555芯片,和两个蜂鸣器。555的6、7管脚连接构成单稳态触发器,555的2、6管脚连接构成多谐振荡起。当单稳态触发器的2管脚输入为低电平时,则其3管脚输入出为高电平,高电平持持续时间Tw=1.1RC。当Tw结束,则3管脚又变为低电平。单稳态触发器的3管脚连接多谐振荡器的4管脚复位端,且是低电平有效,用来控制多谐振荡器的3

管脚输出是否为低电平。当单稳态触发器还处于Tw时间段时,则多谐振荡器4管脚无效,3管脚输出为高,蜂鸣器响动。当单稳态触发器还处于Tw结束后,则多谐振荡器输出为低,多谐振荡器4管脚有效,3管脚输出为低,蜂鸣器停止响动。电路图如下:

图3-5 报警电路

3.2 特殊器件的介绍

本系统中主要使用了如下一些功能器件:计数器74LS192、BCD码7段译码器CD4511、555时钟芯片、D触发器芯片。下面就这些器件的功能特点、主要参数和使用方法作相应说明。

3.2.1计数器74LS192

Protues中计数器74LS192元件符号如图3-6,图3-7。192的清除端是异步的,当清除端(MR)为高电平时,不管时钟端(CPD、CPU)状态如何,即可完成清除功能。192 的预置是异步的。当置入控制端(PL)为低电平时,不管时钟CP的状态如何,输出端(Q0~Q3)即可预置成与数据输入端(D0~D3)相一致的状态。192 的计数是同步的,靠UP、DN同时加在4 个触发器上而实现。在UP、DN作用下Q0~Q3 同时变化,从而消除了异步计数器中出现的计数尖峰。当进行加计数或减计数时可分别利用UP或DN ,此时另一个时钟应为高电平。当计数上溢出时,进位输出端(TCU)输出一个低电平脉冲,其宽度为UP低电平部分的低电平脉冲;当计数下溢出时,借位输出端(TCD)输出一个低电平脉冲,其宽度为DN低电平部分的低电平脉冲。当把TCD和TCU分别连接后一级的DN和UP,即可进行级联。

双列直插封装引出端符号如图:TCDWN 错位输出端(低电平有效),TCUP 进位输出端(低电平有效),CNTDWN 减计数时钟输入端(上升沿有效),CNTUP 加计数时钟输入端(上升沿有效),MR 异步清除端,P0~P3 并行数据输入端PL,异步并行置入控制端(低电平有效),Q0~Q3 输出端极限值。

3.2.2 BCD 码7段译码器CD4511

如下图3-8,图3-9所示,该图为BCD 码七段译驱动器及数码显示器。.A 、B 、C 、D 为BCD 码输入端QA 、QB 、QC 、QD 、QE 、QF 、QG 为译码输出端,输出

“1”有效,用来驱动共阴极 LED 数码管。当有输入信号输入时,对应的输出端输出高电平“1”,此时数码显示器相对应的端脚接受到信号,从而使对应的

灯管亮起,显示对应的数字。LT 为测试输入端,LT =”0”时,译码输出全为”1”。

BI 为消隐输入端,BI =”0”时,译码输出全为”0”,即七段显示器处于消隐状态。LE

为锁定端,LE=“1”时译码器处于锁定状态,译码输出保持在LE=0时的数值,LE=0时正常译码。

图3-9 CD4511

引脚图

3.2.3 555时钟芯片

如图3-10,图3-11,555电路由电阻分压器、电压比较器、基本RS触发器、放电管和输出缓冲器5个部分组成。它的各个引脚功能如下:

1脚:GND(或Vss)外接电源负端VSS或接地,一般情况下接地。8脚:VCC(或VDD)外接电源VCC,双极型时基电路VCC的范围是4.5~16V,CMOS型时基电路VCC的范围为3~18V。一般用5V。|3脚:OUT(或V o)输出端。2脚:TR低触发端。6脚:TH高触发端。4脚:R是直接清零端。当R端接低电平,则时基电路不工作,此时不论TR、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。5脚:CO(或VC)为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF电容接地,以防引入干扰。7脚:D放电端。该端与放电管集电极相连,用做定时器时电容的放电。电阻分压器由三个5kΩ的等值电阻串联而成。电阻分压器为比较器C1、C2提供参考电压,比较器C1的参考电压为2/3Vcc,加在同相输入端,比较器C2的参考电压为1/3Vcc,加在反相输入端。比较器由两个结构相同的集成运放C1、C2组成。高电平触发信号加在C1的反相输入端,与同相输入端的参考电压比较后,其结果作为基本RS触发器R端的输入信号;低电平触发信号加在C2的同相输入端,与反相输入端的参考电压比较后,其结果作为基本RS触发器S端的输入信号。基本RS触发器的输出状态受比较器C1、C2的输出端控制。在1脚接地,5脚未外接电压,两个比较器C1、C2基准电压分别为2/3Vcc、1/3Vcc。

图3-10 555元件符号3-11 555引脚图

4系统调试

4.1调试环境

Proteus软件是英国Labcenter electronics公司出版的EDA工具软件。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。它是目前最好的仿真单片机及外围器件的工具。虽然目前国内推广刚起步,但已受到单片机爱好者、从事单片机教学的教师、致力于单片机开发应用的科技工作者的青睐。具有4大功能模块:

(a)、智能原理图设计(ISIS)丰富的器件库

超过27000种元器件,可方便地创建新元件;智能的器件搜索:通过模糊搜索可以快速定位所需要的器件;智能化的连线功能:自动连线功能使连接导线简单快捷,大大缩短绘图时间;支持总线结构:使用总线器件和总线布线使电路设计简明清晰;可输出高质量图纸。

(b)、ProSPICE混合仿真:基于工业标准SPICE3F5,实现数字/模拟电路的混合仿真;超过27000个仿真器件:可以通过内部原型或使用厂家的SPICE文件自行设计仿真器件,Labcenter也在不断地发布新的仿真器件,还可导入第三方发布的仿真器件。

(c)、独特的单片机协同仿真功能

支持主流的CPU类型:如ARM7、8051/52、AVR、PIC10/12、PIC16、PIC18、PIC24、dsPIC33、HC11、等。

(d)、实用的PCB设计平台

先进的自动布局/布线功能;支持器件的自动/人工布局;支持无网格自动布线或人工布线;支持引脚交换/门交换功能使PCB设计更为合理;完整的PCB设计功能;可以输出多种格式文件。

4.2硬件调试

4.2.1 功能调试

通过几天的的理论设计, 为了验证对理论应用的正确性,选用Protues进行仿真,验证所设计的电路能否实现清零,启动,暂停,继续,报警,节数显示等功能,以及24秒和24秒的倒计时功能。

4.2.2 秒脉冲发生器

调试目的

测试秒发生器是否能够产生频率接近1HZ的脉冲。

调试电路,如图4-1

图4-1 逻辑图555多谐振荡器调试结果,如图4-2

图4-2 波形(黄色部分)

4.2.3 24秒倒计时

调试目的

调试24秒倒计时器能否常倒计时。

调试电路,如图4-3

图4-3 24秒倒计时测试电路调试结果

能够完整的从24秒倒数到00。

4.2.4 12分倒计时器

测试目的

检测12分倒计时器能否正常工作。

测试电路如图4-4

图4-4 12分倒计时器测试电路测试结果:通过测试电路显示的结果可以判断出该电路工作正常

4.2.5 节数计数单元

测试目的

检测比赛节次电路能否正常计数显示。

测试电路如图4-5

图4-5 节次计数电路

篮球比赛计时器(课程设计)剖析

目录 摘要...................................................... I 1 总体设计思路、基本原理 (1) 1.1 设计思路 (1) 1.2 基本原理 (1) 2单元电路设计与各单元电路图 (2) 2.1 秒脉冲发生器的设计 (2) 2.2 秒、分倒计数器的设计 (2) 2.2.1 24秒倒计时电路 (2) 2.2.2 12分钟倒计时电路设计 (3) 2.3 译码器和显示器的设计 (5) 3 总设计 (6) 4 总结 (8) 参考文献 (9) 附录 (10) 附录1 (10) 附录2 (11)

简易篮球比赛计时器 摘要 本设计主要能完成:显示篮球竞赛24秒和12分倒计时功能;此计时器功能齐全,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时蜂鸣器会发出声报警信号等。本设计是脉冲数字电路的简单应用,应用七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能。本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 本电路主要有五个模块构成:秒脉冲发生器、计数器、译码显示电路、控制电路和报警电路。当控制电路的置数开关闭合时,在数码管上显示数字24和12:00,每当一个秒脉信号输入到计数器时,数码管上的数字就会自动减1,当计时器递减到零时,报警电路会发出蜂鸣信号。控制电路能直接控制计数器启动计数、暂停/连续计数、译码显示电路的显示等功能。 关键词:计数器24秒倒计译码显示电路报警电路

篮球24s计时器课程设计

数字电路课程设计报告 课程设计名称篮球比赛24s计时器设计 指导老师XXXXXX 学院水电学院 专业班级XXXXXXXXXXX1班 姓名XXXX 学号XXXXXX 联系电话XXXXXXX 日期2011-12-29

摘要 数字电子技术主要研究各种逻辑门电路、集成器件的功能及其应用,逻辑门电路组合和时序电路的分析和设计、集成芯片各脚功能.555定时器等. 随着计算机科学与技术突飞猛进地发展,用数字电路进行信号处理的优势也更加突出。 数字电子技术课程设计是数字电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 本课程设计是脉冲数字电路的简单应有,设计了篮球竞赛24秒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便的实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 此计时器的设计采用模块化结构,主要有以下3个部分组成,即计时模块、控制模块、以及译码显示模块。在设计计时器时,采用模块化设计思想,使设计起来更加简单、方便、快捷。此电路是一时钟产生,触发,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。 在本课程设计任务书中对篮球竞赛24秒计时器的功能以及它的原理做了介绍。 关键字:24秒计时器七段数码管译码显示电路控制电路报警电路 一计数器概述 1.1篮球竞赛24秒计时器功能 数字电子技术在社会生活中发挥着越来越重要的作用,在生活中有着各种各样的应用。因此课程设计是数字电子技术学习中非常重要的一个环节,它将学生的理论知识和实践能力统一起来,为以后的工作做好准备。 在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它就自动报警从而判定此球员的犯规。 本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛24秒计时器。此计时器功能齐全,有显示24秒倒计时的功能,同时系统设置外部操作开关,控制计时器的直

篮球比赛24秒倒计时电路的设计与制作

篮球比赛24秒倒计时电路的设计与 制作 中国工程物理研究院工学院赵应泽 龙江 一、电路组成 电路由秒脉冲发生器、计数器、译码器、显示电路、报警电路和辅助控制电路五部分组成,见图1。其整机电路如图2所示,印制板电路如图3所示。

1.秒脉冲发生器

秒脉冲产生电路由555定时器和外接元件R1、R2、c构成多谐振荡器。输出脉冲的频率为: 经过计算得到.f≈IHz,即1秒。2.计数器 计数器由两片74Lsl92同步十进制可逆计数器构成。 利用减计数利用预置数,实现计数器按8421码递减进行减计数。利用借位输出端与下一级的cP。连接,实现计数器之间的级联。

利用预置数端实现异步置数。当R。=0,且时,不管cPU 和cPD时钟输入端的状态如何,将使计数器的输出等于并行输入数据,即Q3Q2Q1Q0=D3D2D1D0。 3.译码及显示电路 本电路由译码驱动74Ls48和7段共阴数码管组成。74Ls48译码驱动器具有以下特点:内部上拉输出驱动,有效高电平输出,内部有升压电阻而无需外接电阻。 4.控制电路 完成计数器的复位、启动计数、暂停

/继续计数、声光报警等功能。控制电路由Ic5组成。Ic5B受计数器的控制。Ic5c、Ic5D组成Rs触发器,实现计数器的复位、计数和保持"24"、以及声、光报警的功能。 (1)K1:启动按钮。K1处于断开位置时,当计数器递减计数到零时,控制电路发出声、光报警信号,计数器保持"24"状态不变,处于等待状态。当K1闭合时,计数器开始计数。 (2)K2:手动复位按钮。当按下I(2时,不管计数器工作于什么状态,计数器立即复位到预置数值,即"24"。当松开K2时,计数器从24开始计数。

篮球竞赛24秒计时器设计-

学号: 课程设计 题目 学院 专业 班级 姓名 指导教师

年月日

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位: 题目: 篮球24秒记时器的设计与制作 初始条件: (1)具备显示24秒记时功能 (2)计时器为递减工作,间隔为1S (3)递减到0时发声光报警信号 (4)设置外部开关,控制计时器的清0,启动及暂停 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) (1)设计任务及要求 (2)方案比较及认证 (3)系统框图,原理说明 (4)硬件原理,完整电路图,采用器件的功能说明 (5)调试记录及结果分析 (6)对成果的评价及改进方法 (7)总结(收获及体会) (8)参考资料 (9)附录:器件表,芯片资料 时间安排: 6月16日~6月19日:明确课题,收集资料,方案确定 6月19日~6月21日:整体设计,硬件电路调试 6月21日~6月24日;报告撰写,交设计报告,答辩 指导教师签名:2014年 6月日

前言 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼学生能力的一个环节。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会是何其重要的。 篮球作为一项全民健身项目,已有一定的历史。在中国,篮球很盛行,篮球比赛也日趋职业化。篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制。有需要就会有市场,因此设计一款24秒计时器是非常有必要也非常有前景的。 该计时器要有递减计时及报警功能。因此符合比赛中违例判罚的需要。 在NBA比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于proteus仿真软件和数字逻辑电路相关理论知识,并在proteus下设计和进行仿真,得到了预期的结果。

篮球24S可控计时器

EDA设计基础实验课程论文 题目篮球24S可控计时器 学院通信与电子工程学院 专业班级电子101 学生姓名大彬哥 指导教师大力会 2013年6月18日

摘要 本次设计是基于FPGA的篮球计时器设计,利用Verilog HDL语言和Quartus II软件以及FPGA的实验操作平台来实现的。重点是用硬件语言Verilog HDL来描述篮球计时,偏重于软件设计。本次通过Verilog HDL语言编写一个具有显示24秒倒计时功能的计时器,计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 关键词:Verilog HDL语言 Quartus II软件篮球计时器

Abstract This design is the basketball timer design based on FPGA, using Verilog HDL language and Quartus II software and FPGA experimental platform to achieve. The focus is on using Verilog language HDL to describe time basketball, focused on the software design. Through this Verilog HDL language with a display timer of 24 seconds countdown timer, timer of 24 seconds decrease time the time interval of 1 second; timer decrease time to zero, digital display does not light, issued at the same time, photoelectric alarm signal. Keywords: Verilog HDL Quartus II basketball timer

电子技术课程设计 篮球30s计时器的设计

课程设计名称:电子技术课程设计 题目:篮球竟赛30s计时器设计 专业:电气工程与自动化 班级:电气09-2 姓名:张瑞 学号:09005040229

摘要 本课程设计是脉冲数字电路的简单应用,设计了篮球竞赛30秒计时器。此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有光电报警功能,同时应用了七段数码管来显示时间。此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出光电报警信号。本设计完成的中途计时功能,实现了在许多的特定场合进行时间追踪的功能,在社会生活中也具有广泛的应用价值。 此计时器的设计采用模块化结构,主要由以下3个组成,即计时模块、控制模块、以及译码显示模块。在设计此计时器时,采用模块化的设计思想,使设计起来更加简单、方便、快捷。此电路是以时钟产生,触发,倒计时计数,译码显示为主要功能,在此结构的基础上,构造主体电路和辅助电路两个部分。 关键字计时器 ; 光电报警 ; 模块化

前言 人类社会已进入到高度发达的信息化社会,信息社会的发展离不开电子产品的进步。随着工业水平的进步和人民生活水平的提高,在很多领域都需要几个甚至上百个定时电路去控制多项操作,从而实现工业生产的自动化,最终提高劳动生产率促进经济的发展。定时器在实际工作中用到的场合很多,它成为今天工业控制领域、通讯设备、信息处理以及日常生活中最广泛使用的电路之一,在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机、还可以用来做为各种药丸,药片,胶囊在指定时间提醒,用于各种竞赛的计时器、竞赛用定时器、数控电梯、数控机床、交通灯管理系统、各种智能医疗器械等,定时器是家用电器中的常用产品。 随着电子技术的高速发展和计算机技术的普遍应用,电子设计也越来越普遍地应用于整个电子行业中。电子设计是人们进行电子产品设计、开发和制造过程中十分关键的一步,其核心就是电子电路的设计。电子设计自动化(EDA)是在电子产品向更复杂、更高级,向数字化、集成化、微型化和低耗能方向发展过程中逐渐产生并日趋完善的电子设计方法,在这种方法中,设计过程的大部分工作(特别是底层工作)均由计算机自动完成,是电子技术发展历程中产生的一种先进的设计方法,是当今电子设计的主流。 在篮球比赛中,规定了球员的持球时间不能超过30秒,否则就犯规了。本课程设计的“篮球竞赛30秒计时器”,可用于篮球比赛中,用于对球员持球时间30秒限制。一旦球员的持球时间超过了30秒,它自动的报警从而判定此球员的犯规。 定时器的应用范围极为广泛,其中首推由555构成的定时电路。集成器件555芯片是一种模拟电路和数字电路相结合的中规模集成电路,其逻辑功能强,使用灵活,可方便组成多种逻辑功能电路,能够更加简单更加快捷的实现定时功能,满足在日常生产和生活中的要求,所以555定时器电路在各个领域的应用及其广泛,在数字电路中占有重要位置,受到人们的普遍重视。本设计的秒脉冲发生器就是用由555构成的定时电路。

篮球比赛24秒计时器

湖南工业大学 数字电路课程设计 指导老师:张学毅 学院:电气与信息工程 班级:电气工程1001 姓名:席献斌 学号:10401701008

一、制作任务 制作一个时间计时器,用于篮球赛控制时间的限时警示电路。 二、设计要求 1、具有24秒计时功能 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、在直接清零时,要求数码显示器灭灯。 4、计时器为24秒递减时,计时间隔为1秒。 5、计时器递减到零时,数码显示器不能灭灯,同时发出光电报警信号。 三、总体参考方案

包括秒脉冲发生器、计数器、译码与显示电路、报警电路和控制电路(辅助时序控制电路)等五个部分组成。计时电路递减计时,每隔1秒钟,计时器减1。其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数器、暂停/连续计数、译码显示电路的显示与灭灯等功能。当计时器递减计时到零(既定时时间到)时,显示器上显示00,同时二极管闪亮。 设计思路:秒脉冲信号经过递减计数器,译码器,再由数码管显示出来,中间包括控制电路。 四、主要元器件原理介绍 1、共阴极数码管 数码显示器可显示系统的运行状态及工作数据,我们所选用的是发光二极管(LED)显示器,它分为两种,共阴极(BS201/202)与共阳极(BS211/212),我们所选的是共阴极,它是将发光二极管的阴极短接后作为公共极,当驱动信号为高电平时,阴极必须接低电平,才能够发光显示。共阴极数码管的外引脚及内部电路如下图:

2、七段显示译码器74LS48 驱动共阴极显示器的译码器输出为高电平有效,所以选用74LS48驱动共阴极的发光二极管显示器。 下图是74LS48外引线排列图与功能表: 74LS48工作原理:译码器输入端为二进制码,经译码器后,输出端分别与七段显示器的的输入端对应连接。⑴消隐(灭灯)输入端BI为低电平有效。当消隐(灭灯)输入端BI =0 时,不论其余输入端状态如何,所有输出为零,数码管七段全暗,无任何显示;当消隐输入端BI =1 时译码器译码。⑵灯测试(试灯)输入端LT 为低电平有效。当灯测试(试灯)输入端=0(/ =1)时,不论其余输入端状态如何,所有输出为1,数码管七段全亮,显示8。可用来检查数码管、译码器有无故障;当灯测试输入端LT =1 时译码器译码。⑶脉冲消隐(动态灭灯)输入RBI 为低电平有效。当RBI =1时,对译码器无影响;当BI =LT =1 时,若RBI =0,输入数码是十进制的零时,数码管七段全暗,不显示;输入数码不为零时,则照常显示。在实际使用中有些零是可以不显示的,如004.50 中的百位的零可不显示;若百位的零可不显示,则十位的零也可不显示;小数点后第二位的零,不考虑有效位时也可不显示。脉冲消隐输入RBI =0 时,可使不显示的零消隐。

篮球计时器-篮球24秒计时器

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

课程设计--篮球竞赛24秒计时器

课程设计--篮球竞赛24秒计时器

一、课题名称 二、内容摘要 本设计主要是完成篮球竞赛24秒计时器,显示24秒倒计时功能,系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为“0”;计时器为24秒递减计时,其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。 整个电路的设计借助于Multisim 10.0.1仿真软件和数字逻辑电路相关理论知识,并在Multisim 10.0.1下设计和进行仿真,得到了预期的结果。 关键字:计时器;数码显示器;Multisim 随着信息时代的到来,电子技术在社会生活中发挥着越来越重要的作用,运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,定时器成为检验运动员成绩的一个重要工具。在篮球比赛中,规定了球员的持球时间不能超过24秒,否则就犯规了。本课程设计的“篮球竞赛24秒计时器”,可用于篮球比赛中,用于对球员持球时间24秒限制。一旦球员的持球时间超过了24秒,它自动的报警从而判定此球员的犯规。 本设计主要能完成:显示24秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器灭灯;计时器为24秒递减计时其计时间隔为1秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。

三、设计内容及要求 1.2.1基本要求 (1)显示24秒计时功能。 (2)控制计时器直接清零、启动、暂停/连续功能。 (3)计时器为24秒递减计时器。 (4)递减计时到零时,显示器不能灭灯,同时发出光电报警信号。 1.2.2 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试,直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告。 四、方案论证及比较 本设计的核心部分是要设计一、 个24s倒计数器,并且对计数结果进行实时显示,同时要实现设计任务中提 到的各种控制要求,因此该系统包括秒脉冲发生电路,计数器电路,译码显示电路,控制电路和电路报警电路5部分。其中,计数器电路和控制电路时系统的主要部分。计数器电路完成24s倒计时功能,而控制电路具有直接控制计

篮球24秒计时器

电子课程设计 ——篮球24秒计时器 学院:电子信息工程 专业:电子101501 姓名: 学号:201015020131 指导教师: 2012年12月

篮球24秒计时器 一设计任务与要求 (1)有显示24秒的计时功能; (2)置外部操作开关,控制计时器的直接清零,起碇和暂停\连续功能; (3)计时器为24秒递减计时器,其间隔时间为1秒; (4)计时器递减计时到零时,数码显示器不能灭灯,就发出光电报警信号。 二、总体框图 方案一:利用VHDL语言构成的24s篮球可控计时器 方案二:由各个单元模块构成的24s篮球可控计时器

从框图可知:方案一是完全利用VHDL语言编写各个模块,然后将所有模块连接进行仿真及测试;而方案二是利用已有的芯片构成相应模块,后组合连接仿真。方案二在设计中有一定的简便性且不用编写繁琐的程序,因此,以下设计采用方案二。 篮球24秒计时器,它包括秒脉冲发生器、计数器译码显示、控制电路和报警电路五部分组成。其中计数器和控制电路是电路的主要部分。计数器完成24秒计时功能。而控制电路完成电路的直接清零、启动计数、暂时/连续计数;译码显示电路的显示与亮灯和到时间启动报警功能。秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准。 注:(1)由于实验箱具备提供不同频率的脉冲,在具体设计时将省略秒脉冲发生器部分。 (2)为了能下载到实验箱验证结果,方案二的译码电路部分采用方案一的显示电路。 三、选择器件 (1)同步十进制双时钟加减计数器74LS192(以下简称74192) (2)四2输入与非门74LS00(以下简称7400) (3)EP1C12核心板白色按钮(PB0,PB1) (4)EP1C12核心板贴片二极管(LED3) (5)EP1C12核心板数码管(仅两位) 四、功能模块 (1)25进制减法计数器 此部分采用两个74192构成25进制减法计数器,具备置数和清零的功能。

篮球比赛24秒计时器设计报告

篮球比赛24s计时器设计 一、课程设计目的 1.学会同步十进制计数器74LS192,二进制计数器74LS161,555芯片的简单用法。 2.学习较复杂电路的设计。 3.完成自己设计的电路,锻炼学生发现并解决问题的能力。 4.掌握篮球24s计时器的组装,调试方法。 @ 5.熟悉相应中、大规模集成电路的应用及原理。 二、课程设计要求 基本要求 (1)具有显示24秒计时功能; (2)系统设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;(3)计时器为24秒递减计时,其计时间隔为1秒; (4)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。) 设计任务及目标 (1)根据原理图分析各单元电路的功能; (2)熟悉电路中所用到的各集成块的管脚及其功能; (3)进行电路的装接、调试,直到电路能达到规定的设计要求; (4)写出完整、详细的课程设计报告。 三、原理方框图 ,

原理方框图如图1所示: 图1 24秒计时电路原理框图 图1中包括秒脉冲发生器、减数器、分频器、显示电路和控制电路等部分组成。计时电路递减计时,每隔1秒钟,计时器减1。其中计数器和控制电路是系统的主要部分。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数器、暂停/连续计数、显示器、定时时间到亮灯等功能。当计时器递减计时到零(即定时时间到)时,显示器上显示00,同时发出光电报警信号。 秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不太严格,电路可采用555集成电路或TTL 与非门组成的多谐振荡器构成。产生10HZ 的方波。 10HZ 方波经过分频器分频为1HZ 后,加到减数器cp 端,同时共阴极七段LED 显示器显示。下面介绍各单元电路: 1.秒脉冲发生器 ' 秒脉冲产生电路由555定时器和外接元件R1、R2、c 构成多谐振荡器。 输出脉冲的频率为: 经过计算得到.f≈10Hz ,即秒。如图2所示 显示器 192减计数 161脉冲分频 555脉冲发生器 暂停、连续 … 停止

篮球竞赛24s计时器.(DOC)

电子课程设计 ——篮球竞赛24s计时器 学院:电子信息工程学院 专业: 姓名: 学号: 指导教师: 2014年12月

目录 一、设计任务与要求----------------------------3 二、总体框图----------------------------------3 三、选择器件----------------------------------4 四、功能模块---------------------------------10 五、总体设计电路图---------------------------14 六、硬件调试---------------------------------17 七、心得与总结-------------------------------17

篮球竞赛24s 计时器 一 、设计任务与要求 1、设计一个具有显示24S 计时功能的篮球竞赛计时器。 2、设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能。 3、计时器为24S 递减计时器,其时间间隔为0.01秒。 4、计时器减计时到零时,发出报警信号。 二 、基本原理与电路框图 1. 电路框图 篮球竞赛24秒计时器的电路框图如图2-1所示。 图2-1 电路框图 2. 基本原理 (1) 24秒计时器的总体参考方案框图如图1所示。它包括秒脉冲发生器、 计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路) 等五个模块组成。其中计数器和控制电路是系统的主要模块。计数器完成24秒 计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。 十位显示 秒脉冲触发器 控制电路 计数器 计数器 报警电路 个位显示

数电课程设计-篮球24s倒计时器

课程设计报告 课题名称:篮球比赛24秒倒计时电路的设计 (Basketball 24 seconds countdown circuit design)专业:xxxxxxx 班级:xxxxxxx 学号:xxxxxxx 学生姓名:xxxxxxx 指导教师:xxxxxxx x年x月x日

课程设计目的 1、围绕课程设计的内容,培养学生查询相关资料以及文献检索的能力; 2、培养学生对以往所学知识的综合运用能力;在理解透课堂所讲知识的基础上,提高学生 的自学能力; 3、培养学生了解并逐步熟悉科学研究的整个过程,养成良好的科学态度以及实事求是、严 谨塌实的工作作风;培养学生独立分析问题和解决问题的科学研究的能力; 课程设计内容 (1)设计要求: ① 设计一个篮球比赛24秒计时器,具备显示24秒计时功能; ②计时器为递减工作,时间间隔为1S : ③设置外部开关,控制计时器的启动、暂停及清零; ④递减到零时发出声光报警 : ⑵原理方框图图: 包括秒脉冲发生器、计数器、译码与显示电路、报警电路和控制电路(辅助时序控制电路) 等五个部分组成。计时电路递减计时,每隔1秒钟,计时器减1其中计数器和控制电路是 系统的主要部分。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计 数器、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。当计时器递 减计时到零(即定时时间到)时,显示器上显示00,同时发出光电报警信号。 ⑶实际电路图: 秒脉冲 发生器 计数器 译码 显示 控制电路 报警 电路

⑷单元电路: ①8421BCD 码加法计数器模块 计数器选用汇总规模集成电路74LS192进行设计较为简便,74LS192是十进制可编程同 步加锁计数器,它采用8421码二-十进制编码,并具有直接清零、置数、加锁计数功能。 图1是74LS192外引脚及时序波形图。图中U CP 、D CP 分别是加计数、减计数的时钟脉冲输入端(上升沿有效)。LD 是异步并行置数控制端(低电平有效), CO 、BO 分别 是进位、借位输出端(低电平有效),CR 是异步清零端,D3-D0是并行数据输入殿,Q3-Q0 是输出端。 图1 74LS192外引脚及时序波形 74192的功能表见下表2所示。其工作原理是:当LD =1,CR=0时,若时钟脉冲加到U CP 端,且D CP =1则计数器在预置数的基础上完成加计数功能,当加计数到9时,CO 端发出 进位下跳变脉冲;若时钟脉冲加到U CP 端,且D CP =1,则计数器在预置数的基础上完成加计数功能。 表2 74LS192功能表

篮球24秒倒计时器报告

电子课程设计报告 题目名称:篮球竞赛30秒倒计时器 姓名: 专业: 班级: 学号: 同组人: 指导老师: 南昌航空大学电子信息工程学院 二零零八年九月

电子信息工程学院 电子基础课程设计任务书 I、电子基础课程设计题目: 篮球竞赛30S计时器 II、电子基础课程设计技术要求及主要元器件: 基本要求:1)具有显示30S计时功能,启动和暂停/连续功能, 2)在直接清零时,要求数码显示器灭灯, 3)设置外部操作开关,控制计数器的直接清零, 4)计时器为30S递减计时,计时间隔为1S, 5)计时器递减计时到零时,数码显示器不能灭灯,同时发出光电报警信号。 主要元器件:NE555(1),74ls161(1),74LSl92(2) I II、电子基础课程设计工作内容及进度安排: 1.方案设计,绘制电路图并仿真(2天) 2.电路布线和焊接(3天) 3.电路的调试(2天) 4.课程设计总结和报告(7天)Ⅳ、主要参考资料: 1.杨志忠.数字电子技术(第二版).北京:高等教育出版社,2000 2.胡宴如.高频电子线路(第三版).北京:高等教育出版社,2005 3.王毓银.数字电路逻辑设计(第三版).北京:高等教育出版社,1999 专业名称:电子信息工程班级学号: 06041304 学生姓名:李莉

摘要 随着世界篮球水平的提高,对计时的准确度及可靠性也越来越高,篮球30秒倒计时器也就孕育而生了。 设计一个篮球30秒倒计时器,主要解决的问题是实现倒计时功能。所以必须要有一个脉冲,为确保产生的脉冲稳定,该设计采用555时基电路构成的多谐振荡器产生周期为0.1秒的脉冲,再通过74LS161十分频来产生周期为1秒的脉冲。计数部分用74LS192芯片来实现,192芯片是8421码计时的,符合30秒读数的需要。译码部分采用74LS248芯片,74LS248是把8421BCD 码经过内部作和电路“翻译”成七段(a ,b ,c ,d ,e ,f ,g )输出,然后直接推动LED ,显示十进制数。显示部分采用七段数码管,数码管的使用很广泛,价格也不会很贵。整个线路就是把以上几个主要的部分用导线连接焊接起来。 在许多领域中,计时器都得到了普遍应用,比如在体育比赛中的计时器、安全措施中的定时报警器、游戏中的倒计时、维持秩序的交通信号灯、红绿灯、交通信号控制机、闹钟等等......可见计时器在现代社会中是很重要的。在设计计时器时,采用了模块化的思想,使得设计简单、快捷。本设计完成了中途计时功能,实现了在许多特定场合进行时间追踪,在社会生活中会具有广泛的应用价值。 关键字: 控制 倒计时 译码显示 光电报警 指导教师: 万在红 设计时间: 2008.9.1——2008.9.15

篮球比赛24s计时器

数字电子技术课程设计任务书院(系):信息工程系

目录 一、设计任务 (1) 1.1总设计要求 (1) 1.2个人设计任务 (1) 二、系统设计方案论述 (1) 三、各模块设计 (1) 3.1震荡分频电路 (1) 3.2 定时电路 (2) 3.2.1 功能描述 (2) 3.2.2 设计思路及实现 (2) 3.2.3 电路仿真 (3) 3.3 显示电路 (3) 3.3.1 功能描述 (3) 3.3.2 设计思路及实现 (4) 四、系统电路设计 (5) 4.1由各功能模块连成的系统电路图 (5) 4.2系统仿真图 (6) 4.3系统下载测试结果 (6) 五、总结与体会 (7)

一、设计任务 1.1总设计要求 设计一个用于篮球比赛的进攻24s计时器,要求如下: 1 计时器可以从24s递减计时,时间间隔0.1s。 2 计时器具有24s显示功能,显示精度为0.1。 3 计时器具有外部控制直接清零、启动、暂停/连续功能。 4 当按下清零键时,计时器显示00.0.当按下启动键时,计时器从24s开始递减计时工作。在计时器工作时,按下暂停/连续键,计时器停止计时,当再按下暂停/连续键时,计数器将继续倒计时工作。 5 当计时器递减计时到零时,计数器发出声光报警信号,同时显示00.0;当按下清零键时,声光报警解除。 1.2个人设计任务 设计并调试24s定时计数功能模块。构建24进制减法计数器,计数器时钟脉冲使用10hz系统脉冲,计数器置数端接入按键fuwei,实现时间预置和计时启动。同时,计数器设置暂停功能,可由外部信号控制计数暂停与开始。计数值接入显示电路进行实时倒数计数显示,当计数置减为零时,计数器计数停止,并发出警报信号,等待置数清零指令。 二、系统设计方案论述 篮球比赛进攻24s计时器主要由震荡分频电路、定时电路、声光报警电路、译码显示电路和控制电路5大部分组成。震荡分频电路是定时脉冲信号;定时电路包括时钟信号发生器、定时计数器和译码显示器;控制电路包括清零、复位、暂停/连续和限定时间到报警灯。 三、各模块设计 3.1震荡分频电路 时钟信号由试验箱提供。给74161 1Khz时钟信号,给计时器10hz时钟信号。

篮球24秒计时器课程设计报告

课程设计 题目篮球竞赛24秒计时器 学院自动化学院 专业自动化 班级自动化0902 姓名李鑫 指导教师杨莉林伟 2011 年 6 月30 日 目录 摘要 (2) ABSTRACT (3) 第1章设计任务及基本要求 (4) 1.1设计任务 (4) 1.2基本要求 (4) 第2章电路框图及工作原理 (4) 2.1电路框图 (4) 2.2 设计方案 (5) 第3章各单元电路的设计 (5) 3.1 24进制计数器的设计 (5) 3.2数码显示电路的设计 (7) 3.3秒脉冲的设计 (8) 3.4各控制开关的设计 (9) 3.5报警电路的设计 (11)

3.6整机工作原理 (12) 第4章电路仿真 (12) 第5章数字电路的连接与调试 (14) 结论............................................................................................. 错误!未定义书签。 参考文献 (16) 附录一篮球竞赛24秒计时器总电路原理图......................... 错误!未定义书签。 附录二原器件清单表 (18) 摘要 电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识与实践能力相结合的重要环节,是真正锻炼学生能力的环节。为今后进行复杂的综合型电子系统的设计和调试打下基础。 在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯、行人灯、交通纤毫控制机,还可以用来做为各种药丸、药片,胶囊在指定时间提醒用药等等,由此可见计时器在现代社会的应用是相当普遍的。 本设计主要能完成:电路具有24秒时间显示功能;系统设置外部操作开关,控制计时器的置数、启动/连续和暂停功能;计数过程中,无论处于何种状态,当按下置数键时,计数重新开始;计时器为递减计时,其计时间隔为1秒;当计时器递减计时到零时,显示器显示00,同时发出CP 同步闪烁报警信号等。整个电路的设计借助于Proteus仿真软件以及数字电路相关理论知识,得到了预期的结果。 关键词:24秒计数报警清零暂停 ABSTRACT

24秒篮球倒计时数电实验报告

24秒篮球倒计时数电实验报告

法商学院 《数字电路课程设计》 课程设计报告 专业: 应用电子技术 班级: 应电11301 姓名: 周灵 姓名: 李雄威 指导教师:沈田

课程设计任务书 设计题目:篮球竞赛24秒倒计时器 设计任务与要求: 设计一个篮球竞赛24秒倒计时电路,该电路能实现如下功能: 1)24秒倒计时显示功能; 2)设置外部控制开关,控制计数器的重置“24”、启动和暂停功能; 3)计数器递减至0(即时间到)时,数码管显示“00”,同时发出光电报警信号。 一、电路设计原理 经过对电路功能的分析,整个电路主要由控制电路、秒脉冲信号发生器、计数器、译码器和报警电路五个部分组成。示意图如图1所示。其中计数器和控制电路是系统的主要模块。计数器完成24秒计时功能,而控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间到报警等功能。秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不是太高,故电路可采用555集成电路或由TTL与非组成的多谐振荡器构成。主体电路:24秒倒计时。24秒计数芯片的置数端清零端共用一个开关,比赛开始后,24秒的置数端无效,24秒的倒数计时器开始进行倒计时,逐秒倒计一之到零。选取“00”这个状态,通过组合逻辑电路给出截断信号,让该信号与时钟脉冲在与门中将时钟截断,使计时器在计数到零时停止。

图1-1 24秒计时器系统设计框图 二、单元电路分析 (一)控制电路 控制电路由74LS00芯片和74LS10芯片组成,实现计数器的复位、计数和保持“24”数字显示,以及报警的功能。如图2-1-1为EWB控制电路仿真图。 图2-1-1 EWB控制电路仿真图 (1)开关A:启动按钮、复位按钮 开关A接地时,计数器保持“24”状态不变,处于等待状态; 当开关A闭合时,计数器开始计时,当计数器递减计数到零时,控制电路产生报警信号; 当开关A再次接地时,计数器立即复位到预置数值,即“24”。 (2)开关B:归零按钮 当开关B接高电平时,不管计数器显示任何数值,计数器立即归零,即“00”。(3)开关C:暂停按钮 当暂停/连续开关(开关C)暂停时,计数器暂停计数,显示器保持不变; 当暂停/连续开关(开关C)处于连续时,计数器继续倒计时计数。 (二)秒脉冲发生器 为了给计数器74LS192提供一个时序脉冲信号,使其进行减计数,本设计采用555构成的多谐振荡电路(即脉冲产生电路),其基本电路如图2-2-2。

篮球比赛计时器

电子技术课程设计 ---篮球比赛计时器

目录 一、设计任务与要求--------------------------------------------------3 二、总体框图--------------------------------------------------------3 三、器件选择--------------------------------------------------------4 1、我设计的模块选择的器件---------------------------------------4 2、器件介绍-----------------------------------------------------4 (1)十进制可逆计数器74LS192----------------------------------4 (2)二输入四与非门74LS00-------------------------------------5 (3)六反相器74LS04-------------------------------------------6 (4)RS触发器-------------------------------------------------7 (5)发光二极管------------------------------------------------7 (6)七段数码显示器--------------------------------------------7 四、功能模块--------------------------------------------------------8 1、闪灯报警电路-------------------------------------------------8 2、暂停/连续电路------------------------------------------------9 五、总体设计电路图-------------------------------------------------11 1、电路说明----------------------------------------------------11 2、电路仿真----------------------------------------------------11 3、实验总体电路------------------------------------------------12 六、硬件验证-------------------------------------------------------13 1、硬件连接及实验过程------------------------------------------13 2、硬件连接问题及相应处理--------------------------------------13 3、实验结果----------------------------------------------------13

篮球24秒倒计时器课程设计报告

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级:11通信 1班 姓名:张梦瑶 学号:20110306111 成绩: 指导老师:李海霞 开课时间:2012-2013学年二学期

一、设计题目 篮球比赛 24 秒倒计时器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim 软件完成题目的整体设计 三、具体要求 (1)具有显示24s 倒计时功能:用两个共阴数码管显示,其计时间隔为1s。 (2)分别设置启动键和暂停 /继续键,控制两个计时器的直接启动计数,暂停/继续计数功能。 (3)设置复位键:按复位键可随时返回初始状态,即进攻方计时器返回到24s。 (4)计时器递减计数到“00”时,计时器跳回“24”停止工作,并给出声音和发光提示,即蜂鸣器发出声响和发光二极管发光。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。 第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写 五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成:

1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为 0 分,无故旷课三次总成绩为 0 分。迟到 20 分钟按旷课处理。

相关文档
最新文档