微电子器件与电路实验实验一实验报告

微电子器件与电路实验实验一实验报告
微电子器件与电路实验实验一实验报告

.. .. ..

.专业资料. 微电子器件与电路实验报告

实验1.1二极管IV特性分析(IV分析仪)

二极管正向导通电压及反向击穿电压数据记录

表格1-1 IV分析仪分析二极管IV特性

型号正向导通电压V@1mA 反向击穿电压V@-1mA

1 1N4001 0.535 53

2 1N4728A 0.494 3.2

1N5817G 0.123 20

4 蓝光LED 3.2 61

①将1N4001二极管IV特性曲线(IV分析仪)波形放在下面虚方框中,需按照要求处理波形,并标注正向导通电压和反向击穿电压点【波形打印出来必须清晰】

②将1N4728A二极管IV特性曲线(IV分析仪)波形放在下面虚方框中,需按照要求处理波形,并标注正向导通电压和反向击穿电压点【波形打印出来必须清晰】

③将1N5817G二极管IV特性曲线(IV分析仪)波形放在下面虚方框中,需按照要求处理波形,并标注正向导通电压和反向击穿电压点【波形打印出来必须清晰】

④将蓝光LED二极管IV特性曲线(IV分析仪)波形放在下面虚方框中,需按照要求处理波形,并标注正向导通电压和反向击穿电压点【波形打印出来必须清晰】

实验1.2二极管IV特性分析(直流分析)

正向偏置状态下二极管的IV特性,并计算电流增加10倍时,二极管正向偏压的增加值计算方法:比如0.1mA时电压为416mV,1mA时电压为535mV,电压增量为△V=119mV。

表格1-2 二极管正向偏置下IV特性

V@1000m 型号V@0.1mA V@1mA V@10m V@1

0mA

1 1N4001 0.416 0.535 0.655 0.778 0.936

△V ------- 0.119 0.120 0.123 0.158

2 1N4728A 0.435 0.494 0.554 0.61

3 0.674

△V ------- 0. 0.060 0. 0.061

3 1N5817G 0. 0.123 0.202 0.287 0.413

△V ------- 0.072 0. 0. 0.126

①将1N4001二极管IV特性曲线波形放在下面虚方框中,需按照要求处理波形,并标注各个电流点的二极管电压值【波形打印出来必须清晰】

②将1N4728A二极管IV特性曲线波形放在下面虚方框中,需按照要求处理波形,并标注各个电流点的二极管电压值【波形打印出来必须清晰】

③将1N5817G二极管IV特性曲线波形放在下面虚方框中,需按照要求处理波形,并标注各个电流点的二极管电压值【波形打印出来必须清晰】

实验1.3二极管的正向导通电压随温度漂移特性表格1-3 二极管正向导通电压随温度漂移特性

型号27℃正向导通电压

V 温度漂

系数mV/℃

1 1N4001 0.535 -0.872

2 1N4728A 0.494 -2.317

3 1N5817G 0.123 0.

①将1N4001二极管正向导通电压随温度漂移特性曲线波形放在下面虚方框中,需按照要求处理波形,并用光标标注计算曲线斜率【波形打印出来必须清晰】

②将1N4728A二极管正向导通电压随温度漂移特性曲线波形放在下面虚方框中,需按照要求处理波形,并用光标标注计算曲线斜率【波形打印出来必须清晰】

③将1N5817G二极管正向导通电压随温度漂移特性曲线波形放在下面虚方框中,需按照要求处理波形,并用光标标注计算曲线斜率【波形打印出来必须清晰】

实验1.4 齐纳二极管反向击穿电压随温度漂移特性

表格1-4 齐纳二极管反向击穿电压随温度漂移特性

型号27℃下的反向击穿电压温度漂移系数mV/℃

1 1N4728A 3.247V -10.6

2 1N4733A 5.059V -17.4

3 1N4743A 12.983V -22.5

①将1N4728A二极管反向击穿电压随温度漂移特性曲线波形放在下面虚方框中,需按照要求处理波形,并用光标标注计算曲线斜率【波形打印出来必须清晰】

微电子实验报告一

实验一MOS管的基本特性 班级姓名学号指导老师袁文澹 一、实验目的 1、熟练掌握仿真工具Hspice相关语法; 2、熟练掌握MOS管基本特性; 3、掌握使用HSPICE对MOS电路进行SPICE仿真,以得到MOS电路的I-V曲线。 二、实验内容及要求 1、熟悉Hspice仿真工具; 2、使用Hspice仿真MOS的输出特性,当VGs从0~5V变化,Vds分别从1V、2V、3V、4V 和5V时的输出特性曲线; 三、实验原理 1、N沟道增强型MOS管电路图 a)当Vds=0时,Vgs=0的话不会有电流,即输出电流Id=0。 b)当Vgs是小于开启电压的一个确定值,不管Vds如何变化,输出电流Id都不会改变。 c)当Vgs是大于开启电压的一个确定值,在一定范围内增大Vds时,输出电流Id增大。但当 出现预夹断之后,再增大Vds,输出电流Id不会再变化。 2、NMOS管的输出特性曲线

四、实验方法与步骤 实验方法: 计算机平台:(在戴尔计算机平台、Windows XP操作系统。) 软件仿真平台:(在VMware和Hspice软件仿真平台上。) 实验步骤: 1、编写源代码。按照实验要求,在记事本上编写MOS管输出特性曲线的描述代码。并以aaa.sp 文件扩展名存储文件。 2、打开Hspice软件平台,点击File中的aaa.sp一个文件。 3、编译与调试。确定源代码文件为当前工程文件,点击Complier进行文件编译。编译结果有错误或警告,则将要调试修改直至文件编译成功。 4、软件仿真运行及验证。在编译成功后,点击simulate开始仿真运行。点击Edit LL单步运行查看结果,无错误后点击Avanwaves按照程序所述对比仿真结果。 5、断点设置与仿真。… 6、仿真平台各结果信息说明. 五、实验仿真结果及其分析 1、仿真过程 1)源代码 *Sample netlist for GSMC $对接下来的网表进行分析 .TEMP 25.0000 $温度仿真设定 .option abstol=1e-6 reltol=1e-6 post ingold $设定abstol,reltol的参数值 .lib 'gd018.l' TT $使用库文件 * --- Voltage Sources --- vdd VDD 0 dc=1.8 $分析电压源 vgs g 0 0 $分析栅源电压 vds d 0 dc=5 $分析漏源电压 vbs b 0 dc=0 $分析衬源电压 * --- Inverter Subcircuit --- Mnmos d g 0 b NCH W=30U L=6U $Nmos管的一些参数 * --- Transient Analysis --- .dc vds 0 5 0.1 SWEEP vgs 1 5 1 $双参数直流扫描分析 $vds从0V~5V,仿真有效点间隔取0.1 $vgs取1V、2V、3V、4V、5V

完整版模拟电子电路实验报告

. 实验一晶体管共射极单管放大器 一、实验目的 1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。 2、掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。 3、熟悉常用电子仪器及模拟电路实验设备的使用。 二、实验原理 图2-1为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R 和R组成的分压电路,并在发射极中接有电阻R,以稳定放大器的静态工EB1B2作点。当在放大器的输入端加入输入信号u后,在放大器的输出端便可得到一i个与u相位相反,幅值被放大了的输出信号u,从而实现了电压放大。0i 图2-1 共射极单管放大器实验电路 在图2-1电路中,当流过偏置电阻R和R 的电流远大于晶体管T 的 B2B1基极电流I时(一般5~10倍),则它的静态工作点可用下式估算B教育资料.. R B1U?U CCB R?R B2B1 U?U BEB I??I EC R E

)R+R=UU-I(ECCCCEC电压放大倍数 RR // LCβA??V r be输入电阻 r R/// R=R/beiB1 B2 输出电阻 R R≈CO由于电子器件性能的分散性比较大,因此在设计和制作晶 体管放大电路时, 为电路设计提供必离不开测量和调试技术。在设计前应测量所用元器件的参数,还必须测量和调试放大器的静态工作点和各要的依据,在完成设计和装配以后,因此,一个优质放大器,必定是理论设计与实验调整相结合的产物。项性能指标。除了学习放大器的理论知识和设计方法外,还必须掌握必要的测量和调试技术。消除干扰放大器静态工作点的测量与调试,放大器的测量和调试一般包括:与自激振荡及放大器各项动态参数的测量与调试等。、放大器静态工作点的测量 与调试 1 静态工作点的测量1) 即将放大的情况下进行,=u 测量放大器的静态工作点,应在输入信号0 i教育资料. . 器输入端与地端短接,然后选用量程合适的直流毫安表和直流电压表,分别测量晶体管的集电极电流I以及各电极对地的电位U、U和U。一般实验中,为了避 ECCB免断开集电极,所以采用测量电压U或U,然后算出I的方法,例如,只要 测CEC出U,即可用E UU?U CECC??II?I,由U确定I(也可根据I),算出CCC CEC RR CE同时也能算出U=U-U,U=U-U。EBEECBCE为了减小误差,提高测量精度,应选用内阻较高的直流电压表。 2) 静态工作点的调试 放大器静态工作点的调试是指对管子集电极电流I(或U)的调整与测试。 CEC静态工作点是否合适,对放大器的性能和输出波形都有很大影响。如工作点偏高,放大器在加入交流信号以后易产生饱和失真,此时u的负半周将被削底,O 如图2-2(a)所示;如工作点偏低则易产生截止失真,即u的正半周被缩顶(一 O般截止失真不如饱和失真明显),如图2-2(b)所示。这些情况都不符合不失真放大的要求。所以在选定工作点以后还必须进行动态调试,即在放大器的输入端 加入一定的输入电压u,检查输出电压u的大小和波形是否满足要求。如不满Oi

微电子器件实验5模版 联合仿真 nmos

南京邮电大学 课内实验报告 课程名:微电子器件设计 任课教师: 专业:微电子学 学号: 姓名: 2014/2015学年第2学期 南京邮电大学电子科学与工程学院

《微电子器件设计》课程实验第 5 次实验报告 实验内容及基本要求: 实验项目名称:MOS晶体管的工艺器件联合仿真 实验类型:验证 每组人数:1 实验内容及要求: 内容:采用Tsuprem4仿真软件对MOS晶体管进行工艺仿真,并采用MEDICI仿真软件对该MOS晶体管进行器件仿真。 要求:能够将工艺仿真软件得到的器件数据输出到某个文件中,并能在器件仿真中调用该文件。会画出并分析器件仿真结果。 实验考核办法: 实验结束要求写出实验报告。内容如下: 1、问题的分析与解答; 2、结果分析,比较不同器件结构参数对仿真结果的影响; 3、器件设计的进一步思考。 实验结果:(附后) 实验代码如下: COMMENT Example 9B - TSUPREM-4/MEDICI Interface COMMENT TSUPREM-4 Input File OPTION DEVICE=PS COMMENT Specify the mesh LINE X LOCATION=0 SPACING=0.20 LINE X LOCATION=0.9 SPACING=0.06 LINE X LOCATION=1.8 SPACING=0.2 LINE Y LOCATION=0 SPACING=0.01 LINE Y LOCATION=0.1 SPACING=0.01 LINE Y LOCATION=0.5 SPACING=0.10

LINE Y LOCATION=1.5 SPACING=0.2 LINE Y LOCATION=3.0 SPACING=1.0 ELIMIN ROWS X.MIN=0.0 X.MAX=0.7 Y.MIN=0.0 Y.MAX=0.15 ELIMIN ROWS X.MIN=0.0 X.MAX=0.7 Y.MIN=0.06 Y.MAX=0.20 ELIMIN COL X.MIN=0.8 Y.MIN=1.0 COMMENT Initialize and plot mesh structure INITIALIZ <100> BORON=1E15 SELECT TITLE=”TSUPREM-4: Initial Mesh” PLOT.2D GRID COMMENT Initial oxide DEPOSIT OXIDE THICKNESS=0.03 COMMENT Models selection. For this simple example, the OED COMMENT model is not turned on (to reduce CPU time) METHOD VERTICAL COMMENT P-well implant IMPLANT BORON DOSE=3E13 ENERGY=45 COMMENT P-well drive DIFFUSE TEMP=1100 TIME=500 DRYO2 PRESS=0.02 ETCH OXIDE ALL COMMENT Pad oxidation DIFFUSE TEMP=900 TIME=20 DRYO2 COMMENT Pad nitride DEPOSIT NITRIDE THICKNESS=0.1 COMMENT Field oxidation DIFFUSE TEMP=1000 TIME=360 WETO2 ETCH NITRIDE ALL COMMENT Vt adjust implant IMPLANT BORON ENERGY=40 DOSE=1E12 ETCH OXIDE ALL COMMENT Gate oxidation DIFFUSE TEMP=900 TIME=35 DRYO2 DEPOSIT POLYSILICON THICKNESS=0.3 DIVISIONS=4 COMMENT Poly and oxide etch ETCH POLY LEFT P1.X=0.8 P1.Y=-0.5 P2.X=0.8 P2.Y=0.5 ETCH OXIDE LEFT P1.X=0.8 P1.Y=-0.5 P2.X=0.8 P2.Y=0.5 DEPOSIT OXIDE THICKNESS=0.02 COMMENT LDD implant IMPLANT PHOS ENERGY=50 DOSE=5E13 COMMENT LTO DEPOSIT OXIDE THICK=0.2 DIVISIONS=10 COMMENT Spacer etch ETCH OXIDE DRY THICK=0.22 COMMENT S/D implant IMPLANT ARSENIC ENERGY=100

电子电路实训心得体会

电子课程设计心得体会 通过一周的电子设计,我学会了如何将书本上学到的知识应用与实践,学会了一些基本的电子电路的设计、仿真与焊接,虽然在这个过程中我遇到了很多麻烦,但是在解决这些问题的过程中我也提高了自身的专业素质,这次设计不仅增强了自己在专业方面的信心,鼓舞了自己,更是一次兴趣的培养。 这次电子实习,我所选的课题是“倒计时光控跑马灯”,当拿到选题时,我认为这个不是很难。但当认真的考虑时,我才发现一切并非我想的那么简单。无论一个多么简单的课题,他所牵涉的知识比较多的,比如我这个选题不仅仅包括许多模电器件和数电器件,它还包含许多以前我没有接触或熟知的器件。所以我在设计时也在不断的学习,了解每一个器件的结构、工作原理及其运用。经过与搭档的多次交流,我们才确定了最后的电路方案,然后在多次的电路仿真之中,我们又进行了更加完善的修改,以达到万无一失。 第三天的任务主要是焊接自己设计的电路板。开始,我们都充满了好奇,毕竟这是第一次走进实验室去焊接电路板。不过才过了一天,所有的好奇心都烟消云散,换而的是苦与累。我这时才知道焊电路板确实是一件苦差事。焊电路板要人非常的细心,并且要有一定的耐心,因为焊接示若稍不注意就会使电路短路或者焊错。经过一两天的坚苦奋斗,终于焊完的。但当我们去测试时却无法出现预期的结果。然后我没办法只得去慢慢检查,但也查不出个所以然来。我想实际的电路可能与仿真的电路会产生差错,毕竟仿真的是在虚拟的界面完成的。 所以在接下来的几天我都在慢慢调试和修改中度过,想想那几天过的真的好累,在一次次的失败中修正却还是得不到正确的结果。好几次都想放弃,但最后还是坚持下来。经过多次调试,最后还是得到正确的结果,那一刻,我感觉如释重负,感觉很有成就感。一个星期的电子实习已经过去,但是使我对电子设计有了更的了解,使我学了很多,具体如下:1. 基本掌握手工电烙铁的焊接技能够独立的完成简单电子产品的安装与焊接。熟悉电子产品装工艺的生产流程,了解电子产品的焊接、调试与维修方法;2. 熟悉了有关电子设计与仿真软件的使用,能够熟练使用普通万用表;3.熟悉常用电子器件的类别、型号、规格、性能及其使用范围,能够灵活的运用 4.增强自己解决问题的能力,利用网上和图书馆的资源,搜索查找得到需要的信息; 5.明白了团队合作的重要性,和搭档相互讨论, 学会了怎么更好解决问题。篇二:电子技术实训心得体会 电子技术实训心得体会 开学的第一周,我们迎来了新学期里的第一堂课--电子工艺实训课。对于新学期里的新课程、新知识,我有种迫不及待的感觉。 在这一学期里,我们首先接触的是对电子元件的初步认识,还有电路的结构和布局。而这一实训课里最重要的东西便是日常生活里所见到的电焊。在课堂上,老师指导了我们对电焊的使用,由于在焊接过程中,加热的电焊是比较具有危险性的,如果使用不当会对自己或别人造成伤害。所以我们必须严格按照相关规定及正确的使用方法去使用电焊,避免烙伤事故的发生。 当我们初步掌握了电子元件的焊接方法技巧之后,便可以开始尝试焊接一些电路板元件了。其中电子元件的布局是很重要的。因为它关联到电路连接的方便简洁。 短短的一周过去了,在这一周里,如果没有老师的指导,我们的实训将会有很大的败笔,实训课无法得以完成,其次,在这一次实训中,使我明白,与同伴的合作交流是很重要的。团队精神要劳记在心里。与同性分享成功的喜悦难道不是一种很美好的事么? 实训课已渐入尾声,通过这一次,我们又收获到了很多珍贵的知识,而这与老师的辛勤是离不开的。在此,我和全体同学对老师说一声谢谢!老师您辛苦了!篇三:电子电路实训报告

微电子器件设计

微电子器件设计作业—MOSFET 考虑一个理想N沟和P沟MOSFET互补对,要将其设计为偏置相同时的I—V曲线也相同。器件有相同的氧化层厚度t ox=25nm,相同的沟道长度L=2μm,假设二氧化硅层是理想的。N沟器件的沟道宽度为W=20μm,μn=600cm2/Vs,μp=220 cm2/Vs,且保持不变。(a)确定p型和n型衬底掺杂浓度。(b)阈值电压是多少?(c)p沟器件的沟道宽度是多少? 设计方案 一、分析 但实际工业生产中,NMOS和PMOS均做在同一晶片上,即共用同一衬底。在互补MOS技术中,同时用到了NMOS和PMOS,而PMOS器件的实现可以通过将所有的掺杂类型取反。 对于本设计来说: 互补对:指NMOS和PMOS特性的绝对值相等; 偏置相同:指二者所加偏压的绝对值相同,当所加偏置电压相同时I—V、ID—VDS 和ID—VGS曲线都分别相同。也即是两个MOS 管的阈值电压和偏置相同时的跨导gm均相等。 迁移率:由于实际中的有效迁移率受诸多因素(栅电压、衬底浓度不均匀等)的影响,如果要精确确定器件的特性,需要大量的误差计算,以及结合实际实验和设备的有关测量进行准确设计。因此在本设计中,迁移率视为恒定的有效迁移率,。同时,忽略温度的影响, 掺杂

浓度对载流子有散射作用。在MOS 管的反型层中,当表面感生电荷密度小于10e12cm -2时,电子和空穴的有效迁移率均是常数,为半导体内迁移率的一半。 模型:因为N 沟和P 沟MOSFET 沟道长度相等,均为L=2μm,属于长沟道器件,该设计整体选定长沟道MOS 器件模型。 二、 确定各参数 1、确定p 型和n 型衬底掺杂浓度 (1)、计算P 型衬底掺杂浓度 衬底浓度时采用半导体载流子扩散模型。根据要求,形成反型层 后电子迁移率μn =600cm 2 /Vs 。由于在MOS 管的反型层中,表面感生 电荷密度小于10e12cm -2时,电子和空穴的有效迁移率是常数,为半 导体内迁移率的一半,则半导体内电子迁移率μn =1200cm 2/Vs 。 利用半导体载流子扩散模型: 2 160.9 1180232cm /Vs 1(Na/810 ) n μ=+ +? (2.115) 可以计算出:P 型衬底浓度为Nap=1.48×1016 / cm 3 (2)、计算N 型衬底掺杂浓度 形成反型层后的空穴迁移率μp =220 cm 2/Vs,半导体内迁移率那么就为μp =440 cm 2/Vs. 利用半导体载流子扩散模型: 2 p 17 1.25 370130cm /Vs 1(d/810 ) N μ=+ +? (2.116)

微电子综合实验报告

微电子综合实验报告实验题目:⒚同或门电路仿真 班级:电子科学与技术1201 姓名:XXX 学号:XXX 时间:2015.5—2015.6

一、电路图。 OUT A B (IN1) (IN2) 分别给上图中的每个管子和结点标注,如下所述: P管分别标记为:MP1、MP2、MP3;N管分别标记为:MN1、MN2、MP3;A、B端分别标记为:IN1、IN2;输出端标记为:OUT;N 管之间连接点标记为:1;连接反相器的点标记为:2;如上图所示。 其真值表如下所示:

二、电路仿真表。 *dounand MN1 1 IN1 0 0 NMOS L=0.6U W=2.4U MN2 2 IN2 1 0 NMOS L=0.6U W=2.4U MN3 OUT 2 0 0 NMOS L=0.6U W=2.4U MP1 IN2 IN1 2 VDD PMOS L=0.6U W=4.4U MP2 IN1 IN2 2 VDD PMOS L=0.6U W=4.4U MP3 OUT 2 VDD VDD PMOS L=0.6U W=4.4U VDD VDD 0 DC 5V VIN1 IN1 0 PULSE(0 5 0 0.1N 0.1N 5N 10N) VIN2 IN2 0 PULSE(0 5 0 0.1N 0.1N 10N 20N) .TRAN 1N 100N UIC .LIB './HJ.L' TT .END 下图为无负载电容,IN1=10ns,IN2=20ns时的波形图。 从图中可以发现,本来输出应该是5v,实际输出只有4.8v,可见输出有阈值损失。 原因是N管传高电平、P管传低电平时,输出半幅,所以存在阈值损失。 三、输出加负载电容。 1、C=0.2p ;IN1=10ns ;IN2=20ns 时波形如下:

#电力电子技术实验报告答案

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 三、实验线路及原理 锯齿波同步移相触发电路的原理图如图1-11所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见1-3节和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读本教材1-3节及电力电子技术教材中有关锯齿波同步移相 触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围

模拟电子线路实验实验报告

模拟电子线路实验实验 报告 Document number:NOCG-YUNOO-BUYTT-UU986-1986UT

网络高等教育 《模拟电子线路》实验报告 学习中心:浙江建设职业技术学院奥鹏学习中心层次:高中起点专科 专业:电力系统自动化技术 年级: 12 年秋季 学号: 学生姓名:

实验一常用电子仪器的使用 一、实验目的 1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002型数字存储示波器和信号源的基本操作方 法。 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 ①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; ②输出频率:10Hz~1MHz连续可调; ③幅值调节范围:0~10V P-P连续可调; ④波形衰减:20dB、40dB; ⑤带有6位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。 注意:信号源输出端不能短路。 3.试述使用万用表时应注意的问题。

使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: ①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 ②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。 如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。 4.试述TDS1002型示波器进行自动测量的方法。 按下“测量”按钮可以进行自动测量。共有十一种测量类型。一次最多可显示五种。 按下顶部的选项按钮可以显示“测量1”菜单。可以在“信源”中选择在其上进行测量的通道。可以在“类型”中选择测量类型。 测量类型有:频率、周期、平均值、峰-峰值、均方根值、最小值、最大值、上升时间、下降时间、正频宽、负频宽。 三、预习题 1.正弦交流信号的峰-峰值=_2__×峰值,峰值=__根号2__×有效值。 2.交流信号的周期和频率是什么关系 两者是倒数关系。 周期大也就是频率小,频率大也就是周期长

集成电路综合实验报告

集成电路设计综合实验 题目:集成电路设计综合实验 班级:微电子学1201 姓名: 学号:

集成电路设计综合实验报告 一、实验目的 1、培养从版图提取电路的能力 2、学习版图设计的方法和技巧 3、复习和巩固基本的数字单元电路设计 4、学习并掌握集成电路设计流程 二、实验内容 1. 反向提取给定电路模块(如下图1所示),要求画出电路原理图,分析出其所完成的逻辑功能,并进行仿真验证;再画出该电路的版图,完成DRC验证。 图1 1.1 查阅相关资料,反向提取给定电路模块,并且将其整理、合理布局。 1.2 建立自己的library和Schematic View(电路图如下图2所示)。 图2 1.3 进行仿真验证,并分析其所完成的逻辑功能(仿真波形如下图3所示)。

图3 由仿真波形分析其功能为D锁存器。 锁存器:对脉冲电平敏感,在时钟脉冲的电平作用下改变状态。锁存器是电平触发的存储单元,数据存储的动作取决于输入时钟(或者使能)信号的电平值,当锁存器处于使能状态时,输出才会随着数据输入发生变化。简单地说,它有两个输入,分别是一个有效信号EN,一个输入数据信号DATA_IN,它有一个输出Q,它的功能就是在EN有效的时候把DATA_IN的值传给Q,也就是锁存的过程。 只有在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号。其中使能端A 加入CP信号,C为数据信号。输出控制信号为0时,锁存器的数据通过三态门进行输出。所谓锁存器,就是输出端的状态不会随输入端的状态变化而变化,仅在有锁存信号时输入的状态被保存到输出,直到下一个锁存信号到来时才改变。锁存,就是把信号暂存以维持某种电平状态。 1.4 生成Symbol测试电路如下(图4所示) 图4

电工电子工艺基础实验报告完整版

电工电子工艺基础实验报告完整版 电工电子工艺基础实验报告专业年级: 学号: 姓名: 指导教师: 2013 年 10 月 7 日

目录 一.手工焊点焊接方法与工艺,贴片、通孔元器件焊接工艺。 二.简述磁控声光报警器的工作原理,画出电路组成框图,实物图片。 三.简述ZX—2005型稳压源/充电器的工作原理,画出电路组成框图,实物图片;附上实习报告。四.简述流水灯工作原理,画出电路组成框图,实物图。 五.简述ZX2031FM微型贴片收音机的工作原理,画出电路组成框图,实物图。 六.简述HTDZ1208型—复合管OTL音频功率放大器的工作原理,画出电路组成框图,实物图。七.总的实训体会,收获,意见。 一.手工焊点焊接方法与工艺,贴片、通孔元器件焊接工艺。 (1)电烙铁的拿法 反握法:动作稳定,不易疲劳,适于大功率焊接。 正握法:适于中等功率电烙铁的操作。

握笔法:一般多采用握笔法,适于轻巧型的电烙铁,其 烙铁头就是直的,头端锉成一个斜面或圆锥状,适于焊 接面积较小的焊盘。 (2)焊锡的拿法 (3)焊接操作五步法 左手拿焊条,右手拿焊铁,处于随时可焊状态。 加热焊件、送入焊条、移开焊条、移开电烙铁。(4)采用正确的加热方法 让焊件上需要锡侵润的各部分均匀受热 (5)撤离电烙铁的方法 撤离电烙铁应及时,撤离时应垂直向上撤离 (6)焊点的质量要求 有可靠的机械强度、有可靠的电气连接。 (7)合格焊点的外观 焊点形状近似圆锥体,椎体表面呈直线型、表面光泽 且平滑、焊点匀称,呈拉开裙状、无裂纹针孔夹 渣。 (8)常见焊点缺陷分析 二.简述磁控声光报警器的工作原理,画出

电子电路综合设计实验报告

电子电路综合设计实验报告 实验5自动增益控制电路的设计与实现 学号: 班序号:

一. 实验名称: 自动增益控制电路的设计与实现 二.实验摘要: 在处理输入的模拟信号时,经常会遇到通信信道或传感器衰减强度大幅变化的情况; 另外,在其他应用中,也经常有多个信号频谱结构和动态围大体相似,而最大波幅却相差甚多的现象。很多时候系统会遇到不可预知的信号,导致因为非重复性事件而丢失数据。此时,可以使用带AGC(自动增益控制)的自适应前置放大器,使增益能随信号强弱而自动调整,以保持输出相对稳定。 自动增益控制电路的功能是在输入信号幅度变化较大时,能使输出信号幅度稳定不变或限制在一个很小围变化的特殊功能电路,简称为AGC 电路。本实验采用短路双极晶体管直接进行小信号控制的方法,简单有效地实现AGC功能。 关键词:自动增益控制,直流耦合互补级,可变衰减,反馈电路。 三.设计任务要求 1. 基本要求: 1)设计实现一个AGC电路,设计指标以及给定条件为: 输入信号0.5?50mVrm§ 输出信号:0.5?1.5Vrms; 信号带宽:100?5KHz; 2)设计该电路的电源电路(不要际搭建),用PROTE软件绘制完整的电路原理图(SCH及印制电路板图(PCB 2. 提高要求: 1)设计一种采用其他方式的AGC电路; 2)采用麦克风作为输入,8 Q喇叭作为输出的完整音频系统。 3. 探究要求: 1)如何设计具有更宽输入电压围的AGC电路; 2)测试AGC电路中的总谐波失真(THD及如何有效的降低THD 四.设计思路和总体结构框图 AGC电路的实现有反馈控制、前馈控制和混合控制等三种,典型的反馈控制AGC由可变增益放大器(VGA以及检波整流控制组成(如图1),该实验电路中使用了一个短路双极晶体管直接进行小信号控制的方法,从而相对简单而有效实现预通道AGC的功能。如图2,可变分压器由一个固定电阻R和一个可变电阻构成,控制信号的交流振幅。可变电阻采用基极-集电极短路方式的双极性晶体管微分电阻实现为改变Q1电阻,可从一个由电压源V REG和大阻值电阻F2组成的直流源直接向短路晶体管注入电流。为防止Rb影响电路的交流电压传输特性。R2的阻值必须远大于R1。

华桥大学微电子器件与电路实验实验报告IC2019实验2

实验报告)微电子器件与电路实验(集成 学号实验时间姓名 2019.04 实验成绩实验操作教师签字 实验二集成二极管电学特性分析实验名称(1)计算机 (2)操作系统:Centos 实验设备TSMC RF0.18um工艺模型软件平台:Cadence Virtuoso (4)(3)1.掌握变量扫描分析、OP分析、DC Sweep下分析器件电学模型参数 2.掌握二极管电流和结面积和结周长关系,加深对集成二极管电学特性的理解实验目的特性的测试方法 3.掌握二极管CV 掌握单边突变结二极管掺杂浓度测量方法 4.实验 要求 1. 实验前按要求阅读器件说明文档,阅读实验操作文档,熟悉实验过程及操作步骤 2. 实验过程中按实验报告要求操作、仿真、记录数据(波形) 3. 实验结果经指导老师检查、验收,经允许后方可关机,离开实验室 ,、实验后按要求处理数据和波形,回答问题。实验报告打印后,于下次实验时间缴交。3实验内容: 【20%】 2.1 集成二极管电流随结面积变化特性(变量分析)实验对给定的二极管固定二极管的L,然后对二极管结W进行变量分析,测得二极管电流和结面积之间的关系曲线,通过曲线斜率估计二极管电流和结面积是否满足线性关系,回答思考题1 【20%】分析)2.2 实验集成二极管电流随结周长变化特性(OP使用不同结周长的二极管单元并联成结面积相同的二极管器件,测得相同偏置条件下的二极管电流,通过对比不同二极管电流之间的差异,确定二极管电流和结周长的关系,回答思考题2 【30%】 CV特性测试(DC分析下器件电学模型参数分析)集成二极管实验2.3 对给定结面积的二极管进行DC分析,分析二极管结电容和反偏电压之间的关系,测得CV特性曲线。并根据《微电子器件与电路》所学知识,回答思考题3、4、5。 【30%】实验2.4 集成二极管内建电势差及掺杂浓度测量2测试不同结电压下单边突变结二极管的单位结面积电容,根据单边突变结1/C关系曲线特点计算得到二极管的掺杂浓度和内建电势差。

大学《模拟电子线路实验》实验报告

大连理工大学网络高等教育《模拟电子线路》实验报告 学习中心:奥鹏教育中心 层次:高中起点专科 专业:电力系统自动化 年级: 学号: 学生姓名:杨

实验一常用电子仪器的使用 一、实验目的 答:1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。 2.了解并掌握数字万用表的主要功能及使用方法。 3.学习并掌握TDS1002型数字存储示波器和信号源的基本操作方法。 二、基本知识 1.简述模拟电子技术实验箱布线区的结构及导电机制。 答:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。 2.试述NEEL-03A型信号源的主要技术特性。 答:1.输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号; 2.输出频率:10HZ~1HZ连续可调; 3.幅值调节范围:0~10Vp-p连续可调; 4.波形衰减:20db、40db; 5.带有6位数字频率计,即可作为信号源的输出监视仪表,也可以作为外侧频率计使用。 3.试述使用万用表时应注意的问题。 答:使用万用表进行测量时,应先确定所需测量功能和量程。 确定量程的原则: 1.若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。 2.如果被测参数的范围未知,则选择所需功能的最大量程测量,根据粗侧结果逐步把量程下调到最接近于被测值的量程,以便测量出更加精准的数值。 如屏幕显示“1”,表明以超过量程范围,需将量程开关转至相应档位上。 3.在测量间歇期和实验结束后,不要忘记关闭电源。 三、预习题 1.正弦交流信号的峰-峰值=__2__×峰值,峰值=__√2__×有效值。 2.交流信号的周期和频率是什么关系? 答:周期和频率互为倒数。T=1/f f=1/T

EDA实验报告

电子科技大学成都学院 实验报告册 课程名称:EDA实验与实践 姓名:魏亮 学号:2940710618 院系:微电子技术系 专业:集成电路设计与集成系统(嵌入式) 教师:李海 2011 年12 月12 日

实验一:计数器 一、实验目的: 学习计数器的设计,仿真和硬件测试; 进一步熟悉Verilog HDL的编程方法。 二、实验原理和内容: 本实验的原理是利用复位信号rst,时钟信号clk,输出cout ,实现由0自加到学号(即18)。 本实验的内容是利用Quartus Ⅱ建立一个自加至18的计数器,并进行仿真测试。 三、实验步骤: 1. 启动Quartus Ⅱ建立一个空白工程,然后命名为count . qpf 。 2. 新建Verilog HDL源程序文件count.v,输入程序代码并保存, 然后进行综合编译,若在编译过程中发现错误,则找出并更正错误, 直到编译成功为止。 3. 建立波形仿真文件并进行仿真验证。 四、实验数据和结果: module count (clk,rst,cout); input clk,rst; output[5:0] cout; reg[5:0] cout; always @ (posedge clk) begin if(rst) begin cout=cout+1; if(cout==5'b10011) cout=0; end end endmodule

五、实验总结: 进一步熟悉仿真测试和Verilog HDL 编程方法。

实验二:流水灯 一、实验目的: 通过次试验进一步了解、熟悉和掌握CPLD/FPGA开发软件的使用方法及Verilog HDL的编程方法;学习简单的时序电路的设计和硬件 测试。 二、实验原理和内容: 本实验的内容是建立可用于控制LED流水灯的简单硬件电路,要求在实验箱上时间LED1~LED8发光二极管流水灯显示。 原理:在LED1~LED8引脚上周期性的输出流水数据,如原来输出的数据是11111100则表示点亮LED1、LED2。流水一次后,输出数据应 该为11111000,而此时则应点亮LED1~LED3三个LED发光二极管,这 样就可以实现LED流水灯,为了方便观察,在源程序中加入了一个分频 程序来控制流水速率。 三、实验步骤: (1)启动QuartusII建立空白工程,然后命名为led.qpf。 (2)新建Verilog HDL源程序文件led.v,输入程序代码并保存(源程序参考实验内容),进行综合编译,若在编译过程中发现错误,则找出并更正错误,直至编译成功为止。 (3)FPGA引脚分配,在Quartus II主界面下,选择Assignments→Pins,按照实验课本附录进行相应的引脚分配,引脚分配好以后保存。 (4)对该工程文件进行最后的编译,若在编译过程中发现错误,则找出并更正错误,直至编译成功为止。 (5)打开试验箱的电源开关,执行下载命令,把程序下载到FPGA试验箱中,观察流水灯的变化。 四、实验数据和结果: module led(led,clk); input clk; output[7:0] led; reg[7:0] led_r; reg[31:0] count; assign led=led_r[7:0]; always @ (posedge clk) begin count<=count+1';

数字钟电子电路实训实验报告

实习(实训)总结报告的写法及基本要求 一、实习(实训)报告一般由标题和正文两部分组成 1.标题:标题可以采取规范化的标题格式,基本格式为“关于×××的实习(实训)报告”,用三号黑体字。 2.正文:正文一般分前言、主体、结尾三部分。 (1)前言:主要描述本次实习(实训)的目的意义、大纲的要求及接受实习(实训)任务等情况。 (2)主体:实习(实训)报告最主要的部分,详述实习(实训)的基本情况,包括:项目、内容、安排、组织、做法,以及分析通过实习(实训)经历了哪些环节,接受了哪些实践锻炼,搜集到哪些资料,并从中得出一些具体认识、观点和基本结论。 (3)结尾:可写出自己的收获、感受、体会和建议,也可就发现的问题提出解决问题的方法、对策;或总结全文的主要观点,进一步深化主题;或提出问题,引发人们的进一步思考等。 二、对实习(实训)报告的要求 1.按照大纲要求在规定的时间完成实习(实训)报告,报告内容必须真实,不得抄袭。学生应结合自己所在工作岗位的工作实际写出本行业及本专业(或课程)有关的实习(实训)报告。 2.实习(实训)报告撰写过程中需接受指导教师的指导,学生应在实习(实训)结束之前将成稿交实习(实训)指导教师。 三、实习(实训)考核的主要内容 1.平时表现:实习(实训)出勤和实习(实训)纪律的遵守情况;实习(实训)现场的表现和实习(实训)笔记的记录情况、笔记的完整性。 2.实习(实训)报告:实习(实训)报告的完整性和准确性;实习(实训)的收获和体会。 3.答辩:在生产现场随机口试;实习(实训)结束时抽题口试。

桂林航天工业学院 学生实习(实训)总结报告 院系(部):专业班级: 学生姓名:学号: 实习(实训)地点: 课程名称:电子电路实验 报告日期:2018年7月6日 指导教师评语: 成绩(五级记分制): 指导教师(签字):

微电子工艺课程设计

微电子工艺课程设计 一、摘要 仿真(simulation)这一术语已不仅广泛出现在各种科技书书刊上,甚至已频繁出现于各种新闻媒体上。不同的书刊和字典对仿真这一术语的定义性简释大同小异,以下3种最有代表性,仿真是一个系统或过程的功能用另一系统或过程的功能的仿真表示;用能适用于计算机的数学模型表示实际物理过程或系统;不同实验对问题的检验。仿真(也即模拟)的可信度和精度很大程度上基于建模(modeling)的可信度和精度。建模和仿真(modeling and simulation)是研究自然科学、工程科学、人文科学和社会科学的重要方法,是开发产品、制定决策的重要手段。据不完全统计,目前,有关建模和仿真方面的研究论文已占各类国际、国内专业学术会议总数的10%以上,占了很可观的份额。 集成电路仿真通过集成电路仿真器(simulator)执行。集成电路仿真器由计算机主机及输入、输出等外围设备(硬件)和有关仿真程序(软件)组成。按仿真内容不同,集成电路仿真一般可分为:系统功能仿真、逻辑仿真、电路仿真、器件仿真及工艺仿真等不同层次(level)的仿真。其中工艺和器件的仿真,国际上也常称作“集成电路工艺和器件的计算机辅助设计”(Technology CAD of IC),简称“IC TCAD”。

二、 综述 这次课程设计要求是:设计一个均匀掺杂的pnp 型双极晶体管,使T=346K 时,β=173。V CEO =18V ,V CBO =90V ,晶体管工作于小注入条件下,最大集电极电流为IC=15mA 。设计时应尽量减小基区宽度调制效应的影响。要求我们先进行相关的计算,为工艺过程中的量进行计算。然后通过Silvaco-TCAD 进行模拟。 TCAD 就是Technology Computer Aided Design ,指半导体工艺模拟以及器件模拟工具,世界上商用的TCAD 工具有Silvaco 公司的Athena 和Atlas ,Synopsys 公司的TSupprem 和Medici 以及ISE 公司(已经被Synopsys 公司收购)的Dios 和Dessis 以及Crosslight Software 公司的Csuprem 和APSYS 。这次课程设计运用Silvaco-TCAD 软件进行工艺模拟。通过具体的工艺设计,最后使工艺产出的PNP 双极型晶体管满足所需要的条件。 三、 方案设计与分析 各区掺杂浓度及相关参数的计算 对于击穿电压较高的器件,在接近雪崩击穿时,集电结空间电荷区已扩展至均匀掺杂的外延层。因此,当集电结上的偏置电压接近击穿电压V 时, 集电结可用突变 结近似,对于Si 器件击穿电压为 4 3 13 106- ?=)(BC B N V , 集电区杂质浓度为: 3 4 13 34 13)1106106CEO n CBO C BV BV N β+?=?=()( 由于BV CBO =90所以Nc=*1015 cm -3 一般的晶体管各区的浓度要满足NE>>NB>NC 设N B =10N C ;N E =100N B 则: Nc=*1015 cm -3 ;N B =*1016 cm -3 ;N E =*1018 cm -3 根据室温下载流子迁移率与掺杂浓度的函数关系,得到少子迁移率: s V cm ?==/13002n C μμ;s V cm P B ?==/3302μμ;s V cm N E ?==/1502μμ 根据公式可得少子的扩散系数:

电子电路设计实验报告

电子电路设计实验报告 电子线路专题实验Ⅱ 一、实验要求: 1. 认真阅读学习系统线路及相关资料 2. 将键盘阵列定义为0. 1. 2------ E. F,编程实现将键盘输入内容显示在LCD显示器上。 3. 编程实现将日历、时钟显示在LED显示屏上(注意仔细阅读PCF8563资料),日历、时钟轮回显示。 4. 利用D/A转换通道(下行通道)实现锯齿波发生器;输出(1~5V)固定电压转换成(4~20mA)电流。 5. 利用A/D转换通道(上行通道)实现数据采集,将采集信号显示在LED屏上。程序要求分别具有平均值滤波、中值滤波和滑动滤波功能。 6. 将按键阵列定义成与16个语音段对应,编写程序,实现按键播放不同的语音段。 二、实验设计思路: 本次实验用c语言实现,主要包括LCD,LED,AD,DA,日历芯片,测温传感芯片。受到嵌入式系统实验的启发,将LCD,LED,I2C总线协议,键盘扫描模块接口写成一个文件库(放在library文件夹下),尽量做到调用时与底层硬件无关。通过调用库文件中的函数,实现代码的重用性。键盘,LCD的代码由于与嵌入式实验具有相通之处,因此可将高层的函数(与底层硬件无关的函数)方便地移植过来。 三、实验设计: 1.矩阵键盘扫描模块 4×4的矩阵键盘,通过扫描可得到按下键的行列值,将行列值转换为相应的对应数字0~F。函数GetKey()实现获得按键的键值。对于键盘模块对于对按键的键值识别主要是通过两次扫描而取得。对于第一次扫描,给四行键全部赋予1,然后读回键盘值,对于第二次扫描,逐行为键盘送1,每次送1后再读回键盘值,若非零,说明此行有键按下,最终确定键值。 通过调用GetKey函数构造GetChar()函数,实现获取键盘字符(’0’~’F’)的功能。

相关文档
最新文档