数电期末试卷及答案

数电期末试卷及答案
数电期末试卷及答案

第1页(共8页) 第2页(共8页)

《数字电路》试卷

姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 题 号 一 二

三 四(1) 四(2) 四(3) 四(4) 总 分

得 分

一、填空题(每空1分,共20分)

1. 有一数码10010011,作为自然二进制数时,它相当于十进制数(147 ),作为8421BCD 码时,

它相当于十进制数( 93 )。

2.三态门电路的输出有高电平、低电平和(高电阻 )3种状态。

3.TTL 与非门多余的输入端应接( 高电平或悬空 )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( 高)电平。

5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( )。

6. 如果对键盘上108个符号进行二进制编码,则至少要( 7 )位二进制数码。

7. 典型的TTL 与非门电路使用的电路为电源电压为( 5 )V ,其输出高电平为( 3.6 )V ,输出低电平为(0.35 )V , CMOS 电路的电源电压为( 3-18 ) V 。

8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出

01234567Y Y Y Y Y Y Y Y 应为( 10111111)

。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有( 16)根数据读出线。

10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100 )位。

11. 下图所示电路中, Y 1=( );Y 2 =( );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( 5 )进制计数器。

13.驱动共阳极七段数码管的译码器的输出电平为( 低 )有效。

二、单项选择题(本大题共15小题,每小题2分,共30分)

(在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。)

1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( A ) 。

A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7)

C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7)

2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值

是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。

A .16 B.2 C.4 D.8

4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( A )。

A. 1011--0110--1100--1000--0000

B. 1011--0101--0010--0001--0000

C. 1011--1100--1101--1110--1111

D. 1011--1010--1001--1000--0111

5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( C ) 。

A. 11111101

B. 10111111

C. 11110111

D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( A )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( A )功能。

A.读/写

B.无读/写

C.只读

D.只写

8.N 个触发器可以构成最大计数长度(进制数)为(D )的计数器。 A.N B.2N C.N 2

D.2N

9.某计数器的状态转换图如下, 其计数的容量为( B )

A . 八 B. 五 C. 四 D. 三

A

B

Y 1 Y 2 Y 3

000 001 010

011

100

101

110

111

第3页(共8页) 第4页(共8页)

10.已知某触发的特性表如下(A 、B 为触发器的输入)其输出信号的逻辑表达式为( C )。

A

B Q n+1 说明 0 0 Q n 保持 0 1 0 置0 1 0 1 置1 1

1

Q n

翻转

A . Q

n+1

=A B. n

n

1

n Q A Q A Q +=+ C. n n 1

n Q B Q A Q

+=+ D. Q

n+1

= B

11. 有一个4位的D/A 转换器,设它的满刻度输出电压为10V ,当输入数字量为1101时,输出电压为(A )。

A . 8.125V B.4V C. 6.25V D.9.375V

12.函数F=AB+BC ,使F=1的输入ABC 组合为( D )

A .ABC=000

B .ABC=010

C .ABC=101

D .ABC=110 13.已知某电路的真值表如下,该电路的逻辑表达式为( C )。

A .C Y = B. A

B

C Y = C .C AB Y +=

D .C C B Y +=

A B C Y A B C Y 0 0 0 0 1 0 0 0 0 0 1 1 1 0 1 1 0 1 0 0 1 1 0 1 0

1

1

1

1

1

1

1

14.四个触发器组成的环行计数器最多有( D )个有效状态。 A.4 B. 6 C. 8 D. 16

(B)

三、判断说明题(本大题共2小题,每小题5分,共10分)

(判断下列各题正误,正确的在题后括号内打“√”,错误的打“×”。) 1、逻辑变量的取值,1比0大。( × )

2、D/A 转换器的位数越多,能够分辨的最小输出电压变化量就越小( √ )。

3.八路数据分配器的地址输入(选择控制)端有8个。( × ) 4、因为逻辑表达式A+B+AB=A+B 成立,所以AB=0成立。( × )

5、利用反馈归零法获得N 进制计数器时,若为异步置零方式,则状态S N 只是短暂的过渡状态,不能稳定而是立刻变为0状态。( √ ) 6.在时间和幅度上都断续变化的信号是数字信号,语音信号不是数字信号。(√ )

7.约束项就是逻辑函数中不允许出现的变量取值组合,用卡诺图化简时,可将约束项当作1,也

可当作 0。( √ )

8.时序电路不含有记忆功能的器件。( × )

9.计数器除了能对输入脉冲进行计数,还能作为分频器用。( √)

10.优先编码器只对同时输入的信号中的优先级别最高的一个信号编码. ( √ )

四、综合题(共30分)

1.对下列Z 函数要求:(1)列出真值表;(2)用卡诺图化简;(3)画出化简后的逻辑图。(8分)

Z=C B A C B A B A ??+??+

BC=0

(1)真值表 (2分) (2)卡诺图化简(2分)

(3) 表达式(2分) 逻辑图(2分)

第5页(共8页) 第6页(共8页)

2.试用3线—8线译码器74LS138和门电路实现下列函数。(8分)

Z (A 、B 、C )=AB+A C

3.74LS161是同步4位二进制加法计数器,其逻辑功能表如下,试分析下列电路是几进制计数器,并画出其状态图。(8分)

74LS161逻辑功能表

4.触发器电路如下图所示,试根据CP 及输入波形画出输出端Q 1 、Q 2 的波形。设各触发器的初始状态均为“0”(6分)。

CR LD

CT P CT T

CP Q 3 Q 2 Q 1 Q 0

0 1 1 1 1

× 0 1 1 1 × × 0 × 1

× × × 0 1

× × ×

0 0 0 0 D 3 D 2 D 1 D 0 Q 3 Q 2 Q 1 Q 0 Q 3 Q 2 Q 1 Q 0 加法计数

ST A

Y 7 Y 5

Y 6

Y 4 Y 3 Y 2 Y 1 Y 0 ST C

ST B A 0

A 1 A 2

74LS138 CP A Q 1 Q 2

CR LD CT P CT T D 3 D 2 D 1 D 0

Q 3 Q 2 Q 1 Q 0 CO

74LS161

CP

CP

&

“1” “1” “1”

第7页(共8页) 第8页(共8页)

D

C B A

D C A B ++《数字电子技术》A 卷标准答案

一、填空题(每空1分,共20分)

1. 147 , 93

2. 高阻 3. 高电平或悬空 4. 高 5. F =

6. 7

7. 5 , 3.6 ,0.35 , 3—18 8. 10111111 9. 11 ,16

10. 100 11. Y 1=A B ; Y 2=A B + A B ;Y 3=A B 13. 5 14.低 二、选择题(共30分,每题2分)

1

2

3

4

5

6

7 8 9

1

1

1 1

2 1

3 14

1

5 A C C A C A

A D

B C A D C D B 三、判断题(每题2分,共20分)

1 2 3 4

5 6 7 8 9 10 ×

×

×

√ × √

√ 四、综合题(共30分,每题10分)

1.解:(1)真值表 (2分) (2)卡诺图化简(2分)

A B C Z

0 0 0 0

0 0 1 1 0 1 0 1 0 1 1 × 1 0 0 1 1 0 1 1 1 1 0 0 1 1 1

×

( 3 ) 表达式(2分, ( 4 ) 逻辑图(2分) Z=C B A B A ++=A ⊕B+C BC=0

2. 解:Z (A 、B 、C )=AB +A C =AB (C +C )+A C (B +B )

=ABC +AB C +A BC +A B C = m 1+ m 3+ m 6+ m 7

=7 6 3 1 m m m m ??? (4分)

(4分)

3.解:

1.当74LS161从0000开始顺序计数到1010时,与非门输出“0”,清零信号到来,异步清零。(2分)

2.该电路构成同步十进制加法计数器。(2分) 3.状态图(4分)

4.Q 1、Q 2的波形各3分。

CR LD CT P CT T D 3 D 2 D 1 D 0

Q 3 Q 2 Q 1 Q 0

CO

74LS161

CP

CP

&

“1” “1” “1”

=1

≥1

Z

C

B A 1

BC A 01 00 10 11 ×

×

1

1

1

1

ST A

Y 7

Y 5

Y 6

Y 4 Y 3

Y 2

Y 1 Y 0 ST C

ST B

A 0

A 1 A 2 74LS138 C

B A “1”

&

Z

0000 0001 1001

1000 1010

0011 0111 0010 0101 0110 0100 8 7 6 5 4

2 3 1 9 10 CP A

Q 1

Q 2

《数字电路》期末模拟试题及答案

- 1 - 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1=;Y 2 = ;Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____ c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

《电工学》期末考试试题及答案

电工学期末考试试题 一、填空题(每空2分,共24分) 1.电路如图1所示,=ab U V ,若选a 点为参考点,则b 点的电位b V = V 。 2.各支路电流如图2所示,则=I A 。 3.各电阻值如图3所示,则端口等效电阻=ab R Ω。 4.已知2202sin(314 135)u t V =- ,则有效值U = V ,周期T = s ,初相位= ,0.01t s =时,u = V 。 5.已知变压器的变比为4:1,测得次绕组中的电流24I A =,则原绕组中的电流1I = A 。 6.一台三相异步电动机,定子电压的频率为150Z f H =,极对数1p =,转差率0.015s =。则同步转速0n = /min r ,转子转速n = /min r ,转子电流频率2f = Z H 。 二、选择题(每题2分,共20分) 1.电路如图4所示,其KVL 方程正确的是( )。 .0S A U RI U ++= .0S B U RI U +-= .0S C U RI U -+= .0S D U RI U --= 2.图5所示电路中AB U 为( )V . 1.25A . 1.5B . 2C . 2.5D 3.电路如图6所示,叙述正确的是( )。 .A 电流源吸收功率,电压源发出功率 .B 电流源和电压源都吸收功率 .C 电流源发出功率,电压源吸收功率 .D 电流源和电压源都发出功率 a 图1 b 5V +- 图4 +-U I R -+s U 1A - I 1A 图2 - +V 10 图6 Ω10 A 2 B A 10Ω 10V + - 图5 2Ω 5V +- 5Ω 6Ω 图3 3Ω 2Ω a b

北邮数字电路综合实验报告

数字电路综合实验报告 简易智能密码锁 一、实验课题及任务要求 设计并实现一个数字密码锁,密码锁有四位数字密码和一个确认开锁按键,密码输入正确,密码锁打开,密码输入错误进行警示。 基本要求: 1、密码设置:通过键盘进行4 位数字密码设定输入,在数码管上显示所输入数字。通过密码设置确定键(BTN 键)进行锁定。 2、开锁:在闭锁状态下,可以输入密码开锁,且每输入一位密码,在数码管上显示“-”,提示已输入密码的位数。输入四位核对密码后,按“开锁”键,若密码正确则系统开锁,若密码错误系统仍然处于闭锁状态,并用蜂鸣器或led 闪烁报警。 3、在开锁状态下,可以通过密码复位键(BTN 键)来清除密码,恢复初始密码“0000”。闭锁状态下不能清除密码。 4、用点阵显示开锁和闭锁状态。 提高要求: 1、输入密码数字由右向左依次显示,即:每输入一数字显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。 2、密码锁的密码位数(4~6 位)可调。

3、自拟其它功能。 二、系统设计 2.1系统总体框图 2.2逻辑流程图

2.3MDS图 2.4分块说明 程序主要分为6个模块:键盘模块,数码管模块,点阵模块,报警模块,防抖模块,控制模块。以下进行详细介绍。 1.键盘模块 本模块主要完成是4×4键盘扫描,然后获取其键值,并对其进行编码,从而进行按键的识别,并将相应的按键值进行显示。 键盘扫描的实现过程如下:对于4×4键盘,通常连接为4行、4列,因此要识别按键,只需要知道是哪一行和哪一列即可,为了完成这一识别过程,我们的思想是,首先固定输出高电平,在读入输出的行值时,通常高电平会被低电平拉低,当当前位置为高电平“1”时,没有按键按下,否则,如果读入的4行有一位为低电平,那么对应的该行肯定有一个按键按下,这样便可以获取到按键的行值。同理,获取列值也是如此,先输出4列为高电平,然后在输出4行为低电平,再读入列值,如果其中有哪一位为低电平,那么肯定对应的那一列有按键按下。由此可确定按键位置。

电工学试题及答案套电工部分

电工学试题及答案套电 工部分 TTA standardization office【TTA 5AB- TTAK 08- TTA 2C】

电工学练习题(A) 一、单项选择题:在下列各题中,有四个备选答案,请将其中唯一正确的答案填入题干的括号中。(本大题共5小题,总计10分) 1、图示电路中, 若电压源U S =10 V, 电流源I S =1 A, 则( ) A. 电压源与电流源都产生功率 B. 电压源与电流源都吸收功率 C. 电压源产生功率, 电流源不一定 D. 电流源产生功率, 电压源不一定 电压源, 若外2、电路如图所示, U S 为独立 电路不变, 仅电阻R变化时, 将会引起 ( ) A. 端电压U的变化 B. 输出电流I的变化 C. 电阻R支路电流的变化 D. 上述三者同时变化 3、电路如图所示, 支路电流I AB 与支路电压U AB 分别应为( ) A. 05. A与15. V B. 0 A与1 V C. 0 A与-1 V D. 1 A与0 V

4、图示正弦交流电路中,已知R L C == ωω1, i t 13245=+?cos()ωA , i t 24245=-?cos()ωA ,则i 3为 ( )A. 5281 cos(.)ωt -? A B. 5281cos(.)ωt +? A C. 52cos ωt A D. 7245cos()ωt +? A 5、可以通过改变电容来调节RLC 串联电路的谐振频率,若要使谐振频率增大一 倍, 则电容应( ) A.大4倍 B.大2倍 C. 减至 2 1 D. 减至 4 1 二、填空题:(共20分)(要求写出计算过程) 1、电路如图所示,欲使电压源输出功率为零,则电阻R 为____Ω, 所吸收功率为______W 。 2、若图(a)的等效电路如图(b)所示, 则其中I S 为__________A, R S 为 __________Ω。 3、图示正弦交流电路中,已知 I R =∠-23 π A ,则 I L =____________A 三、非客观题 ( 本 大 题10分 ) 电路如图所示,应用KCL 与KVL 求电流I 、电压U 及元件X 吸收的功率。 四、非客观题 ( 本 大 题15分 )

(完整版)电工学期末复习题及答案

武汉理工大学电工学期末复习题 一、单项选择题:在下列各题中,将唯一正确的答案代码填入括号内 1、把图1所示的电路改为图2的电路,其负载电流I1和I2将()。 (a)增大(b)不变(c)减小 2、当三相交流发电机的三个绕组接成星形时,若线电压u BC=380sin wt V,则相电压u B=()。 (a)(b) (c) 3、在图示电路中,开关S在t=0瞬间闭合,若,则()。 (a)0.6A(b)0.4A(c)0.8A 4、两个铁心线圈除了匝数不同(N1>N2)外,其他参数都相同,若将这两个线圈接在同一交流电源上,它们的磁通F1和F2的关系为()。 (a)F1>F2(b)F1

6、在电动机的继电器接触器控制电路中,零压保护的功能是()。 (a)防止电源电压降低烧坏电动机 (b)防止停电后再恢复供电时电动机自行起动 (c)实现短路保护 7、在中点接地的三相四线制低压供电系统中,为了防止触电事故,对电气设备应采取 ()措施。 (a)保护接中(接零)线(b)保护接地(c)保护接中线或保护接地 8、图1与图2为两个正弦交流等效电路,已知R=9W,R¢=10W,C=F,C¢=F,需施加的正弦信号的角频率w为()。 (a)0.32rad/s(b)0.11rad/s(c)2rda/s 9、图示正弦交流电路中,R=XL=10W,欲使电路的功率因数l=0.707,则XC 为()。 (a)20W(b)10W(c)5W

10、在R,L,C串联电路中,总电压,电流 i=10sin(wt+)A,w=1000rad/s,L=1H,则R,C分别为()。 (a)10W,1mF(b)10W,1000mF(c)0.1W,1000mF 11、图示正弦交流电路中,Z=(40+j30)W,XC=10W,有效值U2=200V,则总电压有效值U为()。 (a)178.9V(b)226V(c)120V 12、在R,L并联的正弦交流电路中,R=40W,XL=30W,电路的无功功率 Q=480var,则视在功率S为()。 (a)866VA(b)800VA(c)600VA 13、在图示电路中,U S,I S均为正值,其工作状态是()。 (a)电压源发出功率(b)电流源发出功率 (c)电压源和电流源都不发出功率

数电期末试卷

天津理工大学考试试卷 2013~2014学年度第一学期 《高频电子线路》 期末考试 答案 课程代码: 0562010 试卷编号: 5-A 命题日期: 2013 年 11 月 5 日 答题时限: 120 分钟 考试形式:闭卷笔试 得分统计表: 大题号 总分 一 二 三 四 五 一、单项选择题(从4个备选答案中选择最适合的一项,每小题1分,共10分) 得分 1. 下图所示抽头式并联谐振回路中,接入系数为p ,则把电容C1折合到LC 回路两端后的值为 A 。 A 12C p B 11 2C p C 1pC D 11C p 2. 某丙类高频功率放大器原工作于在欠压状态,现欲调整使它工作在临界状态,可采用办法 B 。 A CC V 增加、 bm V 减小、 p R 减小

B C C V 减小、bm V 增加、p R 增加 C CC V 减小、 bm V 减小、p R 减小 D CC V 增加、 bm V 增加、 p R 增加 3. 给一个振荡器附加AFC 系统,是为了 D 。 A 尽量保持输出电平恒定; B 使振荡器的输出与参考信号完全同步(同频同相); C 使振荡器输出的频率与参考信号频率相等,但初相位相对于参考信号初相位有一定的剩余误差; D 使振荡频率比不加时稳定。 4. 为了保证调幅波的包络能够较好地反映调制信号, C 。 A 集电极被调功率放大器和基极被调功率放大器都应工作在欠压状态 B 它们都应工作在过压状态 C 集电极被调功率放大器应工作在过压状态,另一个则应工作在欠压状态 D 基极被调功率放大器应工作在过压状态,另一个则应工作在欠压状态 5. 下面属于非线性元件特性的是 C 。 A 只有直流电阻,且阻值随静态工作点的改变而改变 B 只有动态电阻,且阻值随静态工作点的改变而改变 C 具有频率变换的作用 D 满足叠加原理 6. 某一调谐放大器,假设输入信号的频率为2MHz 、5MHz 、10MHz ,12MHz ,当谐振回路的谐振频率为10MHz 时,频率为 C 的信号在输出信号中最强。 A 2MHz B 5MHz C 10MHz D 12MHz 7. 若调制信号的频率范围为n F F -1时,用来进行标准调幅,则形成已调波的带宽为 A 。 A n F 2 B ()12F F n - C 12F D ()n f F m 12+ 8. 多级单调谐回路谐振放大器与单级单调谐回路放大器比较,叙述正确的是 C 。

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

《电工学》期末考试试题及答案

电工学期末考试试题 4. 已知 u =220 Jsin (314t - 135 V ,则有效值 U = _____ V ,周期 T 二 _______ s ,初相位二 ______ t = 0.01s 时,u 二 ____ V o 5. 已知变压器的变比为4:1,测得次绕组中的电流l 2=4A ,则原绕组中的电流h 二 ____________ A o 6. 一台三相异步电动机,定子电压的频率为 f^50H Z ,极对数p=1,转差率s = 0.015。则同步转 速n 0二 ________ r /min ,转子转速 n 二 ___ r /min ,转子电流频率 f 2二 _______ H Z 。 、选择题(每题2分,共20 分) 1. 电路如图4所示,其KVL 方程正确的是( ) 2. 图5所示电路中U AB 为( )V 3. 电路如图6所示,叙述正确的是( ) A. 电流源吸收功率,电压源发出功率 C.电流源发出功率,电压源吸收功率一、填空题 1.电路如图 (每空2分,共24分) 1所示,U ab b J Q — 5V - 图1 2.各支路电流如图2所示, 3.各电阻值如图3所示,则端口等效电阻R ab - Q o A. U S RI U =0 B. Us RI -U =0 C. U s -RI U =0 D. U s - RI -U =0 B. 1.5 C. 2 D. 2.5 + O ------- 10V C )( )5/ A — 5Q — 1QQ | B Q B.电流源和电压源都吸收功率 D.电流源和电压源都发出功率 A. 1.25 21' 1 图5 10V

电工技术考试试题及答案

电工技术考试试题答案 一、选择题 1、两只额定电压相同的电阻,串联接在电路中,则阻值较大的电阻 (A)。 A、发热量较大 B、发热量较小 C、没有明显差别 2、万用表的转换开关是实现(A)。 A、各种测量种类及量程的开关 B、万用表电流接通的开关 C、接通被测物的测量开关 3、绝缘棒平时应(B)。 A、放置平稳 B、使他们不与地面和墙壁接触,以防受潮变形 C、放在墙角 4、绝缘手套的测验周期是(B)。 A、每年一次 B、六个月一次 C、五个月一次 5、绝缘靴的试验周期是(B)。 A、每年一次 B、六个月一次 C、三个月一次 6、在值班期间需要移开或越过遮栏时(C) A、必须有领导在场 B、必须先停电 C、必须有监护人在场 7、值班人员巡视高压设备(A)。 A、一般由二人进行 B、值班员可以干其它工作

C、若发现问题可以随时处理 8、倒闸操作票执行后,必须(B)。 A、保存至交接班 B、保存三个月 C、长时间保存 9、接受倒闸操作命令时(A)。 A、要有监护人和操作人在场,由监护人接受 B、只要监护人在场,操作人也可以接受 C、可由变电站(所)长接受 10、直流母线的正极相色漆规定为(C)电工/焊工。 A、蓝 B、白 C、赭 11、接地中线相色漆规定涂为(A)。 A、黑 B、紫 C、白 12、变电站(所)设备接头和线夹的最高允许温度为(A)。 A、85℃ B、90℃ C、95℃ 13、电流互感器的外皮最高允许温度为(B)。 A、60℃ B、75℃ C、80℃ 14、电力电缆不得过负荷运行,在事故情况下,10kV以下电缆只允许连续(C)运行。 A、1h过负荷35% B、1.5h过负荷20% C、2h过负荷15% 15、电力变压器的油起(A)作用。 A、绝缘和灭弧 B、绝缘和防锈 C、绝缘和散热 16、继电保护装置是由(B)组成 A、二次回路各元件 B、各种继电器

《电工学》期末考试试卷A

期末考试(A) 学号姓名计分 一、填空题(每空2分,共24分) 1.电路如图1所示,= ab U 5 V,若选a点为参考点,则b点的电位 V= -5 V。 2.I 3. 4.已知135) u t V =-o,则有效值U= 220 V,周期T= 0.02 s,初相位= -135 , 0.01 t s =时,u= 220 V。 5.已知变压器的变比为4:1,测得次绕组中的电流 2 4 I A =,则原绕组中的电流 1 I= 1 A。 6.一台三相异步电动机,定子电压的频率为 1 50 Z f H =,极对数1 p=,转差率0.015 s=。则同步转 速 n= 3000 /min r,转子转速n= 2955 /min r,转子电流频率 2 f= 0.75 Z H。 二、选择题(每题2分,共20分) 1.电路如图4所示,其KVL方程正确的是( A )。 .0 S A U RI U ++=.0 S B U RI U +-=.0 S C U RI U -+=.0 S D U RI U --= 2.图5所示电路中 AB U为( D )V . 1.5 B.2 C. 2.5 D 3.电路如图6 .A电流源吸收功率,电压源发出功率.B电流源和电压源都吸收功率 .C电流源发出功率,电压源吸收功率.D电流源和电压源都发出功率 4.若将同一白炽灯分别接入到220V直流电源和有效值为220V的交流电源上,则( C )。 .A接至直流电源时较亮.B接至交流电源时较亮 图6

.C 两者亮度相同 .D 以上答案均不正确 5.下列哪个表达式成立?( D ) V e V t u A j ο ο 604)60sin(4. -=-=ω V t V e U B j )30sin(255.30οο +==? ω V u C ο 458. -∠= V U D ο 3010. -∠=? 6.电感和电容均为理想元件的正弦交流电路中,下列表达式正确的是( A )。 .A I CU ω= .m m L B U jX I ? ? =- .C U j I C ω? ? =- .m m D I LU ω= 7.三角形接法的对称三相负载接至相序为C B A 、、的对称三相电源上,已知相电流A I AB ο010∠=? 则线电流A I ? =( B ) A 。 . 10330A ∠o . 10330B ∠-o . 10/330C ∠o . 10/330D ∠-o 8.下列说法中不符合 R 、L 、C 串联谐振特征的是( B )。 .A 电路对电源呈现电阻性 (X ) .B 电路的阻抗模最大 (Z=R ) .C L C U U = .D 电流最大 (I=U/R ) 9.三相异步电动机转动的原理是( C ) 。 .A 定子磁场与定子电流的相互作用 .B 转子磁场与转子电流的相互作用 .C 旋转磁场与转子电流的相互作用 .D 旋转磁场与定子电流的相互作用 10.Y- ?换接起动起动转矩=Y st T ( A )ΔS t T 。 . 1/3A . 1/3B . 3C . 3D 三、分析计算题(5小题,共56分) 1.有源二端网络N 的开路电压0U 为9V ,若联接如图7()a 所示,则得电流为1A 。若联接成图7()b 所示,当电流源电流为1A ,求电路中的电流I 为多少?(10分) 1.解: 根据戴维宁定理竟有源二端网络等效成 图(c )所示。则: 图7 (a) 图7(b)

数电期末试卷

数字电路考试试卷 一、填空 1.在三变量逻辑函数中,有m 5m 6= ,ΠM (0,1,2,3,4,5,6,7)= 。 2.十进制数78的二进制数是 ,八进制数是 ;十六进制数是 。 3.有一个六位D/A 转换器,设满刻度输出为6.3伏,当输入数字量为101001时,输出模拟电压为 。 4.ROM地址为A0~A77,输出为Y0~Y3,则ROM容量为 。 二.用卡诺图法化简下列函数为最简与或式。 1.F(A,B,C,D)=∑m(3,5,8,9,10,12)+∑d(0,1,2,13) 2.F(A,B,C,D)=(A+B+C+D )(A+B+C+D )(A+B+C+D )(B+C ) 三.某组合电路有3个输入逻辑变量A 、B 、C 和一个控制变量M 。当M=1 时,A 、B 、C 中有偶数个1,电路输出为1;当M=0时,A 、B 、C 中 有奇数个1,电路输出为1。 1.请列出真值表,写出输出函数的最简与或逻辑表达式; 2.用3-8译码器74LS138实现该电路。 四. 已知JK 触发器构成的电路如图所示,设Q 0,Q 1,Q 2初态为0,试画出在CP 作用下,Q 0、Q 1、Q 2的时序图。 五.作出下列两种情况下序列信号检测器的最简状态转换图,凡收到输入序列101时输出就为1。

1.规定检测的101序列不重叠; 2.允许检测的101序列重叠。 六.下图是由8选1数据选择器和同步4位二进制计数器74161构成的循环序列为1101001(左位在前)的序列信号发生器的部分连线图。 (1) 试完成该电路的连线; (2) 画出计数器的状态转换图 七.555定时器、计数器和集成施密特电路构成下图所示电路。 (1)说明电路各部分的功能。 (2)若集成施密特电路的V DD =10V ,R 1 = 100K Ω,C 1 = 0.01μF ,VT+=6.3V ,VT- =2.7V 求v 1端波形的周期T 。 (3)74161芯片进位端C 与其CP 端脉冲的分频比是多少? (4)若R = 30K Ω,C = 0.01μF ,求v O 端输出脉宽T W 是多少? (5)画出v 1 ,74161进位端C 和v O 的波形。 C 1μF v o

电工学期末模拟试题含答案

电工学(电工技术)试题库试卷 2003 ~ 2004 (I I ) 一、单项选择题:在下列各题中,将唯一正确的答案代码填入括号内 (本大题分12小题,每小题2分,共24分) 1、在图示电路中,已知U S =2V ,I S =2A 。A 、B 两点间的电压U AB 为 ( )。 (a) 1V (b) ?1V (c) ?2V 2、有一台星形连接的三相交流发电机,额定相电压为660V ,若测得其线电压U AB =1143V , U BC =660V ,U CA =660V ,则说明 ( )。 (a) A 相绕组接反 (b) B 相绕组接反 (c) C 相绕组接反 3、图示正弦电路中,Z = (40 + j30) ?,X L =10?,有效值U 2 =200V ,则总电压有效值U 为 ( )。 (a) V (b) 226 V (c) 120 V 4、某非正弦周期电流电路的电压为A )303sin(230sin 2100120?+++=t t u ωω,电流 A )303sin(273.1)30sin(2109.13??-+++=t t i ωω,则其三次谐波的功率P 3为 ( )。 (a) (b) 45W (c) 5、图示电路在换路前已处于稳定状态,而且电容器C 上已充有图示极性的6V 电压,在 t =0瞬间将开关S 闭合,则i (0+)= ( )。 (a) ?1A (b) 0A (c) 1A 6、图示为一直流电磁铁磁路,线圈接恒定电压U 。当气 隙长度? 减小时,线圈电流I 将 ( )。 (a) 增大 (b) 减小 (c) 保持不变 7、电力变压器的外特性曲线与负载的大小和性质有 关,当负载为电阻性或电感性时,其外特性曲线 ( )。 (a) 随负载增大而上升 (b) 随负载增大而下降 (c) 为一平行横坐标的直线 8、三相异步电动机的旋转方向决定于( )。 (a) 电源电压大小 (b) 电源频率高低 (c) 定子电流的相序 9、在电动机的继电器接触器控制电路中,欠压保护的功能是( )。 (a) 防止电源电压降低烧坏电动机 (b) 防止停电后再恢复供电时电动机自行起动 (c) 实现短路保护 10、当限定相对测量误差必须小于±2%时,用准确度为级、量程为250V 的电压表所测量的电压值应为 ( )。 (a)小于125V (b)不大于250V (c)大于125V

2016年北邮数电实验报告

数字电路与逻辑设计 实验报告 学院:电子工程学院 班级: 姓名: 学号: 班内序号:

目录 (一)实验名称及实验任务要求 (1) (二)模块端口说明及连接图 (2) 1.1实验三(3)模块端口说明 (2) 1.2实验三(3)连接图 (2) 2.1实验四模块端口说明 (2) 2.2实验四连接图 (2) (三)原理图或VHDL代码 (3) 1.实验一(2)原理图 (3) 2.实验三(3)VHDL代码 (4) 3.实验四VHDL代码 (7) (四)仿真波形 (10) 1.实验一(2)仿真波形 (10) 2.实验三(3)仿真波形 (11) 3.实验四仿真波形 (11) (五)仿真波形分析 (11) 1.实验一(2)仿真波形分析 (11) 2.实验三(3)仿真波形分析 (11) 3.实验四仿真波形分析 (11) (六)故障及问题分析 (12) (七)总结和结论 (13)

(一)实验名称及实验任务要求 实验一 名称:QuartusII原理图输入法设计与实现 实验任务要求:EDA基础实验1(1)、(2)、(3)必做,选做VHDL 实现加法器。 实验二 名称:用VHDL设计与实现组合逻辑电路 实验任务要求:四人表决器、8421码转格雷码、数码管译码器(下载测试)。 实验三 名称:用VHDL设计与实现时序逻辑电路 实验任务要求:分频器、8421十进制计数器、将分频器/8421十进制计数器/数码管译码器3个电路进行连接并下载。 实验四 名称:用VHDL设计与实现相关电路 实验任务要求:数码管动态扫描控制器、点阵扫描控制器。

(二)模块端口说明及连接图 1.1实验三(3)模块端口说明 cp:时钟信号输入; rst:8421十进制计数器异步置位; c[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 1.2实验三(3)连接图 2.1实验四模块端口说明 cp:时钟信号输入; rst:8421计数器异步复位; lgt[6...0]:七段二极管数码管显示; cat[7...0]:数码管显示。 2.2实验四连接图

《电工学》期末考试试题及答案

电工学期末考试试题 一、填空题(每空2分,共24分) 1.电路如图1所示,= ab U V,若选a点为参考点,则b点的电位 V=V。 2.各支路电流如图2所示,则= I A。 3.各电阻值如图3所示,则端口等效电阻= ab RΩ。 4.已知135) u t V =-o,则有效值U=V,周期T=s,初相位=, 0.01 t s =时,u=V。 5.已知变压器的变比为4:1,测得次绕组中的电流 2 4 I A =,则原绕组中的电流 1 I=A。 6.一台三相异步电动机,定子电压的频率为 1 50 Z f H =,极对数1 p=,转差率0.015 s=。则同步转 速 n=/min r,转子转速n=/min r,转子电流频率 2 f= Z H。 二、选择题(每题2分,共20分) 1.电路如图4所示,其KVL方程正确的是()。 .0 S A U RI U ++=.0 S B U RI U +-=.0 S C U RI U -+=.0 S D U RI U --= 2.图5所示电路中 AB U为()V . 1.5 B.2 C. 2.5 D

3.电路如图6所示,叙述正确的是( )。 .A 电流源吸收功率,电压源发出功率 .B 电流源和电压源都吸收功率 .C 电流源发出功率,电压源吸收功率 .D 电流源和电压源都发出功率 4.若将同一白炽灯分别接入到220V 直流电源和有效值为220V 的交流电源上,则( )。 .A 接至直流电源时较亮 .B 接至交流电源时较亮 .C 两者亮度相同 .D 以上答案均不正确 5.下列哪个表达式成立?( ) V e V t u A j ο ο 604)60sin(4. -=-=ω V t V e U B j )30sin(255. 30οο +==? ω V u C ο 458. -∠= V U D ο 3010. -∠=? 6.电感和电容均为理想元件的正弦交流电路中,下列表达式正确的是( )。 .A I CU ω= .m m L B U jX I ? ? =- .C U j I C ω? ? =- .m m D I LU ω= 7.三角形接法的对称三相负载接至相序为C B A 、、的对称三相电源上,已知相电流A I AB ο010∠=? 则线电流A I ? =( ) A 。 . 30A o . 30B -o . 10/30C o . 10/30D -o 8.下列说法中不符合 R 、L 、C 串联谐振特征的是( )。 .A 电路对电源呈现电阻性 .B 电路的阻抗模最大 .C L C U U = .D 电流最大 9.三相异步电动机转动的原理是( )。 .A 定子磁场与定子电流的相互作用 .B 转子磁场与转子电流的相互作用 .C 旋转磁场与转子电流的相互作用 .D 旋转磁场与定子电流的相互作用 10.Y- ?换接起动起动转矩=Y st T ( )ΔS t T 。 . 1/3A . 1/B . C . 3D 三、分析计算题(5小题,共56分) 1.有源二端网络N 的开路电压0U 为9V ,若联接如图7()a 所示,则得电流为1A 。若联接成图7()b 所示,当电流源电流为1A ,求电路中的电流I 为多少?(10分) 图6

数字电子技术基础期末考试试卷及答案1[1]

填空题 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方 程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为 12 条、数据线为 8 条。二、选择题1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 2.下列几种TTL电路中, 输出端可实现线与功能的电路是( D)。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为( C)。

A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为( D)。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有( C)个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式 Y= A + 2、用卡诺图法化简为最简或与式 Y= + C +A D,约束条件:A C + A CD+AB=0 四、分析下列电路。(每题6分,共12分) 1、写出如图1所示电路的真值表及最简逻辑表达式。

北邮数电实验报告

北京邮电大学实验报告 实验名称:数字电路与逻辑设计实验报告 学院:信息与通信工程学院 班级: 姓名: 学号: 序号: 日期:

实验三:用VHDL语言设计与实现逻辑电路 一、实验内容 1. 用VHDL语言设计实现一个带异步复位的8421码十进制计数器,仿真验证其功能,并下载到实验版测试。要求用按键设定输入信号,发光二极管显示输出信号; 2.用VHDL语言设计实现一个分频系数为12,分频输出信号占空比为50%的分频器,仿真验证其功能; 3.将(1),(2)和数码管译码器3个电路进行连接,并下载到实验板显示计数结果。 二、模块端口说明及连接图 1.分频器 2. 计数器 clk: 时钟输入信号 clk: 时钟信号输入 clear: 复位信号输入 clear: 复位信号输入 clk_out: 时钟分频后的信号输出 q: 计数器的输出 3.数码管显示 b: 数码管的输入信号 seg: 译码显示输出 onoff: 数码管的输出控制

4.连接图 三、实验分析 1.设计思路 本实验将之前的分频器和计数器以及数码管显示模块组合起来,实现了单个数码管现显示0~9,每隔0.5s切换一次显示内容。 COMPONENT div_12实现了时钟分频,将50MHz的单片机晶振时钟进行分频,输出频率2HZ占空比50%的方波时钟,以此时钟作为内部时钟驱动计数器。 COMPONENT jishuqi是一个十进制计数器,NUM从“0000”到“1001”循环变化,模为10。计数器的输出传递给数码管译码显示电路。 COMPONENT seg7_1是数码管译码显示电路,将收到的信号NUM译码并控制数码管的段锁存来控制数码管的显示。 整体来看,div-12提供了分频后2Hz的时钟,驱动计数器计数,计数的结果作为数码管译码显示模块的输入,根据计数器实时的数进行数码管的显示。综合起来就实现了设计的功能。 在进行电路的连接时,可直接在代码中分成三个进程来实现,也可通过为每个模块建立符号,连接电路图来实现。 2. 具体代码如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY fenpinjishu IS PORT( clear2 :IN STD_LOGIC; clk1:IN STD_LOGIC; b1:OUT STD_LOGIC_VECTOR(6 downto 0); CAT:OUT STD_LOGIC_VECTOR(7 downto 0) );

数字电路期末试卷

2015-2016年第一学年度 汕尾市职业技术学校电子线路期末考试(开卷) 班级___________ 姓名__________ 分数__________ 一选择题(本大题共十道小题,每小题2分) 1、模拟电路中晶体管大多工作于( )。 A.放大状态 B.截止状态 C.击穿状态 D.饱和状态 2、当逻辑函数有n个变量时,共有( )个变量取值组合? A. n B. 2n C. n2 D. 2n 3、十进制数25用8421BCD码表示为( )。 A、10 101 B、0010 0101 C、100101 D、100101 4、下列逻辑式中,正确的逻辑公式是( )。 A.A+B=A B B. A+B=A B + C. A+B=AB D. A+= 00 5、二输入端的与非门,其输入端为A、B,输出端为Y,则其表达式Y= ()。 A、AB B、AB C、B A+D、A+B 6、逻辑式A+BC=( )。 A .A+ B B.A+ C C.(A+B)(A+C) D.B+C 7、辑电路如图示,其逻辑式为( )。 A.F=A+BC B、F=A B C ?+ C、F=A B C ++D、F=A·BC

1 1& ≥1 1F A B C 8、一个T触发器,在T=0时,加上时钟脉冲,则触发器()。 A、保持原态 B、置0 C、置1 D、翻转 9、欲对全班43个学生以二进制代码编码表示,最少需要二进制码的位数是 ()。 A、5 B、6 C、8 D、43 10、下列电路中,不属于组合逻辑电路的是( ) A.译码器B.全加器 C.寄存器 D.编码器 二填空题(本大题共十小题每小题2分) 1、数字信号的特点是在上和上都是断续变化的,其高电平和低电平 常用和来表示。 2、OC门的输出端可并联使用,实现________功能;三态门可用来实现 ______________。 3、(35)10 =()2 = ( )8421BCD 4、基本逻辑运算有________、________、________3种。 5、在RS、JK、T和D触发器中,_____触发器的逻辑功能最多。 6、组合电路由________________构成,它的输出只取决于_ ________________ 而与原状态无关。

北邮-数电实验报告

北邮-数电实验报告

数字电路实验报告 学院:信息与通信工程 专业:信息工程 班级:2013211125 学号:2013210681 姓名:袁普

②:仿真波形图以及分析 波形图: 波形分析:通过分析ab ci三个输入在8中不同组合下的输出,发现与全加器的真值表吻合,说明实现了全加器的逻辑功能。同时看见波形中出现了毛刺(冒险),这也与事实一致。 ③:故障及问题分析 第一次在做全加器的时候发现找不到已经生成的半加器模块,后来发现是因为在建立工程时这两个项目没有建在同一个文件夹里,在调用的时候就找不到。后来我将全加器工程建在同一个文件夹里解决了此问题。

实验二:用VHDL设计和实现组合逻辑电路 一:实验要求 ①:用VHDL设计一个8421码转换为格雷码的代码转换器,仿真验证其功能。 ②:用VHDL设计一个4位二进制奇校验器,要求在为奇数个1时输出为1,偶数个1时输出为0,仿真验证其功能。 ③:用VHDL设计一个数码管译码器,仿真验证其功能,下载到实验板测试,要求用拨码开关设定输入信号,数码管显示输出信号,并且只使一个数码管有显示,其余为熄灭状态。 二:故障及问题分析 在刚开始实现让一个数码管显示的时候,我本来准备再设置6个输入和输出,通过实验板上的拨码来输入信息分别控制不同的数码管的的开闭状态,但是后来发现这样效率很低而且实验板上的拨码开关数量根本不够。在老师的提醒下,我最终在VHDL里直接增加了一个向量输出”011111”来直接控制cat0~5六个管脚,从而达到了实验的要求。

实验三:用VHDL设计和实现时序逻辑电路 一:实验要求 ①:用VHDL语言设计实现一个8421十进制计数器,要求有高电平复位功能,仿真验证其功能。 ②:用VHDL语言设计实现一个分频系数为12,输出为占空比50%方波的分频器,有高电平复位功能,仿真验证其功能。 ③:将(1),(2)和数码管译码器三个电路进行连接,仿真验证其功能,并下载到实验板进行测试,要求第三个数码管显示数字。二:报告内容 ①实验三(3)模块端口说明及模块代码 模块一:div12为一个有高电平复位功能的分频系数为12的分屏器,其输出是一个占空比50%的方波。此模块输入连接一个时钟输入,即可在输出端得到一个周期更大的方波输出。 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity div12 is port( clear,clk:in std_logic; clk_out:out std_logic ); end div12; architecture struct of div12 is signal temp:integer range 0 to 5; signal clktmp:std_logic; begin process(clk,clear) begin if(clear='1') then

电工学期末考试试卷-电工学答案

电工学期末考试试卷-电工学下B 答案 一、填空题:(每空2分,共30分) 1.晶体三极管工作在放大状态时,其发射结处于 正向 偏置,集电结处于 反向 偏置。 2.放大电路中,若想要减小输入电阻,应引入 并联 负反馈;若想要增加输出电阻,应引入 电流 负反馈。 3.理想运算放大电路工作在线性区时,有 虚断 和 虚短 两个重要概念。 4.已知变压器二次侧电压U =10V ,采用单相半波整流电路,二极管承受的最高反向压降RM U = 14.1 V ;若采用单相桥式整流电路,则二极管承受的最高反向压降RM U = 14.1 V 。 5.(57.5)10=( 111001.1 )2=( 39.8 )16。 6.三变量的逻辑函数共有 8 个最小项。其全部最小项之和为 1 。 7.TTL 三态门的输出包括 高电平 、低电平和 高阻态 等三种工作状态。 二、选择题: (每题2分,共12分) 1.某硅三极管三个电极的电位V e 、V b 和V c 分别为3V 、3.7V 和6V ,则该管工作在( A )状态。 A 、饱和 B 、截止 C 、放大 D 、损坏 2.工作在甲乙类状态的互补对称功率放大电路,通常提供一个偏置电路以克服( D )失真。 A 、截止 B 、饱和 C 、截止和饱和 D 、交越 3.电路如图1所示,引入的反馈为( C )负反馈。 A 、电压并联 B 、电流并联 C 、电压串联 D 、电流串联 4.下列电路中属于时序逻辑电路的是( D )电路。 A 、加法器 B 、编码器 C 、译码器 D 、计数器 5.构成一个十二进制的计数器,需要( B )个触发器。 A 、2 B 、4 C 、6 D 、12 6.摩根定律的正确表达式是:( B ) A 、B A B A +=? B 、B A B A +=? C 、B A B A ?=? D 、B A B A ?=? 三、用代数法化简如下逻辑函数为最简与或式。(6分) =Y A B +A C D +C D +AB C +B C D 解:=Y )()(BD AD D C C A A B ++++ =)()(B A D C C A B ++++ =C A D C C B B A +++ =C A D C B A ++ 图 1

相关文档
最新文档