信号发生器课程设计

课程设计名称:电子技术课程设计题目:信号发生器

学期:2012-2013学年第2学期

专业:测控技术与仪器

班级:

姓名:

学号:

指导教师:

辽宁工程技术大学

课程设计成绩评定表

课程设计任务书

一、设计题目

集成运放应用电路设计

二、设计任务

请使用一片LM324或其他四运放芯片,实现下述功能(整体框图见下图):

1.使用运放,产生一个三角波信号U1,峰峰值为4V,频率为5KHz。

2.使用仿真软件中低频信号源输出一个正弦波信号U2,频率为500Hz,峰峰值为200 mV。

3.设计一个比例加法器,输出信号为U3,U3 = U1 + 10U2

4.设计一个滤波电路,使其可以最大限度地滤除5KHz的分量,保留500Hz的分量,输出结果为U4,其峰峰值为4~5V可调。

5.设计一个比较器电路,对U1和U4信号进行比较,输出信号为U5,峰峰值为3V。

要求运放使用+12V单电源供电。

三、设计计划

电子技术课程设计共1周。

第1天:针对选题查资料,确定设计方案;

第2天:方案分析比较,电路原理设计,进行元器件及参数选择;

第3~4天:电路仿真,画电路原理图,要求至少用Multisim;

第5天:编写整理设计报告,格式必须准确。

四、设计要求

1. 画出整体电路图。

2. 对所设计的电路全部或部分进行仿真,使之达到设计任务要求。抓图背景为白色。

3. 写出设计报告书。

指导教师:闫孝姮

时间:2013年6月22日

目录

1方案论证---------------------------------------- 1 1.1方案一--------------------------------------------------- 1 1.2方案二--------------------------------------------------- 1 1.3方案三--------------------------------------------------- 1 2原理及技术指标---------------------------------- 2 2.1三角波发生电路------------------------------------------- 2 2.2同相比例加法器------------------------------------------- 3 2.3有源二阶低通滤波电路------------------------------------- 4 2.4电压比较器----------------------------------------------- 5 3单元电路设计及参数计算-------------------------- 6 3.1矩形波及三角波发生电路及参数值--------------------------- 6 3.2比例加法器电路及参数值----------------------------------- 9 3.3压控电压源二阶低通滤波电路及参数值---------------------- 10 3.4电压比较器电路及参数值---------------------------------- 12 4仿真------------------------------------------- 13 4.1三角波发生电路------------------------------------------ 13 4.2同相比例加法器------------------------------------------ 14

4.3有源滤波电路-------------------------------------------- 15 4.4电压比较器---------------------------------------------- 15 5设计小结--------------------------------------- 16 6.参考文献--------------------------------------- 18

摘要

信号发生器在生产生活中起着重要的作用。想要设计一个信号发生器,首先,使用运放构成滞回比较器和积分器产生一个三角波信号,使用仿真软件中电压源输出一个正弦信号。然后使用同相求和运算电路设计比例加法器将两种波叠加,接着设计有源滤波器进行滤波,然后通过比较器进行比较输出最终信号。此次实验涉及信号的产生,比例加法器,滤波器,比较器等知识。是对所学知识的一次综合考察。同时,也使得我学会运用仿真软件。

关键词:比例加法器;滤波器;比较器;信号源;三角波发生器;TINA;

辽宁工程技术大学电子技术课程设计

1方案论证

1.1方案一

利用lm324构成的有源三角波发生电路可以产生标准的噪声较小的三角波,但是,电路内部需要两个运算放大器,所以不符合任务要求。因此,该方案不能采取。

1.2方案二

由于任务要求必须使用4个lm324完成,因此本方案将滤波电路改为无源低通滤波电路器将5kHz的波形滤掉,而保存500Hz波形。但是,无源低通滤波电路适用于高频电路例如:整流电路,对信号的滤除作用较小或不明显。因此,本方案不合要求,故不能使用此方案。

1.3方案三

1、运放选择:lm324系列运放市场上常用Texas Instruments,On Semi, ST

Microelectronics。该系列运放共同点为使用温度范围均为70℃、最大工作电压为32V、转换速率为0.5V/us,不同点有封装形式、引脚数目、共模抑制比等参数。

针对课设要求:单电源供电、使用四个运算放大器,可选择了lm324N、lm324A等直插式运放。

2、电路图:首先用lm324构成方波发生电路,在使用无源积分电路将方波积分后变

为三角波,然后将此三角波与正弦波(频率500Hz,振幅200mV)通过同相比例加法器合成。接着,用lm324构成有源低通一阶滤波电路将高频信号去除留下低频信号。最后,将低频信号和三角波通过电压比较器进行比较输出,其波形为矩形连续波形。本方案既节省运放,而且波形输出效果较好,故使用本方案进行。

3、仿真软件:本次课程设计所用运算放大器为TI公司提供,为避免软件方面带来的

误差而选择由TI公司推出的仿真软件“TINA”。

杨磊:信号发生器

2原理及技术指标

2.1三角波发生电路

因为矩形波电压只有两种状态,不是高电平,就是低电平,所以滞回电压比较器是它的重要组成部分;因为产生振荡,就是要求输出的两种状态自动的相互转换,所以电路中必须引入反馈;因为输出状态应按一定的时间间隔交替变化,即产生周期性变化,所以电路中要有延迟环节来确定每种状态维持的时间。

滞回比较器可用于产生矩形波、三角波和锯齿波等各种非正弦波信号,也可用于波形变换电路。用于控制系统时,滞回比较器的主要优点是抗干扰能力强。当输入信号受干扰或噪声的影响而上下波动时,只要根据干扰或噪声电平适当调整滞回比较器两个门限电平UT+和UT-的值,就可以避免比较器的输出电压在高、低电平之间反复跳变。

滞回比较器的输入电压经电阻R1加在集成运放的反响输入端,参考电压REF U 经电阻R2接在同向输入端,此外从输出端通过电阻F R 引回同向输入端。电阻R 和背靠背稳压管Z VD 的作用是限幅,将输出电压的幅度限制在±UZ 。

图2 滞回比较器的输入输出波形

当集成运放反向输入端和同向输入端的电位相等,即-+=u u 时,输出端的状态将发

辽宁工程技术大学电子技术课程设计

生跳变。

其中I u u =-,+u 则由参考电压REF U 及输出电压O u 两者共同决定,而O u 有两种可能

的状态:+UZ 或-UZ 。由此可见,使输出电压由+UZ 跳变成-UZ ,以及由-UZ 跳变成+UZ 所需的输如电压值是不同的。也就是说,这两种比较器有两个不同的门限电平,故传输特性呈滞回形状。

利用叠加原理可求的门限电平:

Z R R R REF R R R T U U U F F F +++=+222 Z R R R REF R R R T U U U F F F ++-=-22

2

由以上两式可求的门限宽度: Z f T U R R R U +=?222

2.2同相比例加法器

依据:0==+-i I U U 得知:==-U I I R f O f U R R R +

3221321R U R U U R U U I I I i i +++=-+-=+

令+-=U U 得o U 与21.i i U U 的关系式

第二种方法 利用迭加原理求出+U 及-U

杨磊:信号发生器

231231132132////////i i U R R R R R U R R R R R U +++=

+ (321////R R R R =+ )

223123121321321)//(//////R U R R R R R R R U R R R R R R i i ?+?+?+?=

??????+=+2211R U R U R i i f o

R U R U -==- +-=U U ??????+?=∴-2211R U R U R R R U i i f f o

当两输入端外电路平衡时,有-

+=R R 2211i f i f o U R R U R R U +=∴ 当321R R R ==时,21i i o U U U += 实现两信号相加,且同相。

2.3有源二阶低通滤波电路

图示为压控电压源二阶低通滤波电路

压控电压源二阶低通滤波电路既引入了负反馈,又引入了正反馈。当信号频率趋于零时,由于C1的电抗趋于无穷大,因而正反馈很弱;当信号频率趋于无穷大时,由于C2的电抗趋于零,因而)(s U p 趋于零。

设计计算公式如下:

截止角频率:02211021f C R C R πω==

[6] ( 为截止频率或转折频率0f )

辽宁工程技术大学电子技术课程设计

增益因子:

r f

f R R A H +==10 由R~Ω4~210得,05~31101

f C ≈,又21

,12==k kC C

若单位增益为1,则有2

1R ,R R R f r +=∞=即开路,且 若单位增益大于1,则有

)

()(12121R R A R R R A A R f f f f

r +=+-=, 电阻

102,12211C k k

R ω-±= 2.4电压比较器

图5.1

图5.1所示为一般单限比较器,REF U 为外加参考电压。根据叠加原理,集成运放反相输入端的电位

REF N U R R R u R R R u 2121211+++= [1]

令0==P N u u ,则求出阈值电压

杨磊:信号发生器

REF T U R R U 12-=

3单元电路设计及参数计算

注意:1、下述运算放大器在仿真软件TINA 中均使用PNP 型lm324n 搭接电路并进行仿

真。

2、理论值计算均与实际仿真出入较大

3.1矩形波及三角波发生电路及参数值

图示1三角波电路及参数

由于信号发生器所用运放均为单电源供电,因此须对原理公式进行变形。

已知:V V p p 4=-,KHz f 50=

。用

在计算时为方便起见仍使用稳压管损失且有电阻分压

无源积分电路存在能量V V U z 4,3.4,4623N 1=∴

辽宁工程技术大学电子技术课程设计

振荡周期:us f 2001T 0

== )1ln(2)1()0()2

(2))0(()())0(()(RC 21321132T Z 2

T 33R R C R T R R R U C R e U U e U U T U T t U e U U t U U e

U U t U T C R Z C R Z T c s

RC t

s c c s RC t

s c c +=+==-=+-===∴+-=∴+-=----代入得到:将阈值,其中时,电路“三要素法”:一阶τ

此时,将us 200T =代入,并预设K R R R 1321===,可得到:mF C 26.144=

矩形波理论参数仿真:

矩形波调试后:

杨磊:信号发生器

三角波经过再次调试,逐次改变电阻电容值获得最终三角波波形如下:

辽宁工程技术大学电子技术课程设计

3.2比例加法器电路及参数值

图示2比例加法器电路及参数

根据原理公式)(

2

211R U R U R U I I f o +=: 101;1102213==∴+=R R R R U U U f f

所以,可令Ω=K R f 10,则Ω=Ω=K R K R 10121,,由于当213////R R R R f =时,可省去原理图中的R4,则可使Ω=K R 13。

杨磊:信号发生器

3.3压控电压源二阶低通滤波电路及参数值

图示3压控电压源低通滤波电路及理论值

根据压控电压源二阶低通滤波电路原理公式可得:

由于要滤去5KHz 的信号,因此取截止频率Hz f 7000=,同时假设电压增益1=f A ,则,即开路∞=3R ,由此计算:

nF f C 143101

041=≈- nF C C 5.712

112== s rad f /4398200==πω 得由102,12211C k k

R ω-±=: Ω==K R R 25.221

Ω=+=K R R R f 5.421

理论值仿真波形:

辽宁工程技术大学电子技术课程设计

调试后:

有上面两幅图像可看出:该压控电压源滤波电路不能实现峰峰值4~5V 可调,最大仅能维持在4V 左右,因此,可以要将3R 保留,并与f R 共同实现放大作用,改进后电路图如下:

其输出波形如下:

杨磊:信号发生器

由此可见,该电路可使得峰峰值保持在9V 左右,若改变f R 的阻值,可控制输出波形峰峰值在4~5V 之间可调节,经过调试得到如下结果;

当Ω=830f R 时,V U o 4≈

当Ω=K R f 1时,V V U o 598.4≈=

3.4电压比较器电路及参数值

图示4 电压比较器电路及参数

图示电路与理论电路图区别在双输入,为实现比较要求,需要将两个信号进行比较,因此需要对原电路图进行改进。稳压管使用1N5914,其稳压值为3.6V 。

接入分两种情况:

(1) 三角波接入正相端,得到如下波形:

辽宁工程技术大学电子技术课程设计

(2)三角波接入反相端,得到如下波形:

4仿真

4.1三角波发生电路

多功能信号发生器设计报告.doc

重庆大学城市科技学院电气学院EDA课程设计报告 题目:多功能信号发生器 专业:电子信息工程 班级:2006级03班 小组:第12组 学号及姓名:20060075蒋春 20060071冯志磊 20060070冯浩真 指导教师:戴琦琦 设计日期:2009-6-19

多功能信号发生器设计报告 一、设计题目 运用所掌握的VHDL语言,设计一个信号发生器,要求能输出正弦波、方波、三角波、锯齿波,并且能改变其输出频率以及波形幅度,能在示波器上有相应波形显示。 二、课题分析 (1).要能够实现四种波形的输出,就要有四个ROM(64*8bit)存放正弦波、方波、三角波、锯齿波的一个周期的波形数据,并且要有一个地址发生器来给ROM提供地址,ROM给出对应的幅度值。 (2).因为要设计的是个时序电路,所以要实现输出波形能够改变频率,就必须对输入的信号进行分频,以实现整体的频率的改变。 (3).设计要求实现调幅,必须对ROM输出的幅度信息进行处理。最简单易行的方法是对输出的8位的幅度进行左移(每移移位相当于对幅度值行除以二取整的计算),从而达到幅度可以调节的目的。同时为了方便观察,应再引出个未经调幅的信号作为对比。 三、设计的具体实现 1、系统概述 系统应该由五个部分组成:分频器(DVF)、地址发生器(CNT6B)、四个ROM 模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)、四输入多路选择器mux、幅度调节单元w。 2、单元电路设计与分析 外部时钟信号经过分频器分频后提供给地址发生器和ROM,四个ROM的输出接在多路选择器上,用于选择哪路信号作为输出信号,被选择的信号经过幅度调节单元的幅度调节后连接到外部的D/A转换器输出模拟信号。 (1)分频器(DVF) 分频器(DVF)的RTL截图

PWM信号发生器的设计报告

前言 脉冲宽度调制(Pulse Width Modulation.PWM)控制技术以其控制简单、灵活和动态响应好的优点而成为电力电子技术和模拟信号数字传输通信领域最广泛应用的控制方式,因此研究基于PWM技术的脉冲宽度及周期可调的信号发生器具有十分重要的现实意义。 本文主要讨论了脉冲占空比可调信号的产生方法,采用三种不同的方案使用VHDL语言编程实现了信号的产生。其中方案一的原理是分频,即用计数器计算时钟脉冲的上升沿个数,再通过输出电平反复翻转得到计数个数(脉冲宽度)可控的PWM 信号;方案二的原理是锯齿波比较法,首先编程产生阶梯状的锯齿波,再通过锯齿波与输入占空比值(数值可控的直线)比较产生脉冲宽度随输入占空比数值变化的PWM 信号;方案三是用有限状态机产生有用信号,首先定义两个状态,再通过计数器值与输入占空比值比较控制状态的切换,产生PWM信号。本文详细介绍方案二和方案三两种方法。 通过使用QuartusII9.0软件采用VHDL语言编程并用功能仿真证实了上文提到的三种PWM信号产生方案都是可行的,都能产生切实可用的PWM信号,三种方案中均可以通过修改输入端口占空比来控制产生信号的脉宽,且可以通过在程序中修改计数器的计数上限和分频模块的分频比改变信号的周期及频率,实现了多参数可调,使整体设计具有灵活的现场可更改性和较好的可移植性。且实现功能的程序简单易懂,设计过程中思路阐述清晰,流程介绍明了,且程序易于修改,可读性好。

第一章设计要求 1.1 研究课题 PWM信号发生器的研制 1.2设计要求 用CPLD可编程模块产生下列信号(特殊芯片:EPM570T100C5) (1)采用VHDL编写相关程序,PWM信号的工作频率为500Hz(1000Hz); (2)时钟信号通过分频器后,由输入开关量控制占空比可调。

高频信号发生器的设计与制作

一、概要 在高等学校课程设计是一个重要的教学环节,它与实验、生产实习、业设计构成实践性教学体系。由此规定了课程设计的三个性质:一是教学,学生在教师指导下针对某一门课程学习工程设计; 二是实践性,课程设包括电路设计、印刷板设计、电路的组装和调试等实践内容; 三是群众性、主动性,课程设计以学生为主体,要求人人动手,教师只起引导作用,主任务由学生独立完成,学生的主观能动性对课程设计的完成起决定性作。学生较强的动手能力就是依靠实践性教学体系来培养的。 1.1 何谓课程设计 所谓课程设计就是大型实验,是具有独立制作和调试的设计性实验,其基本属性体现在工程设计上。但课程设计毕竟不同于一般实验。 首先是时间和规模不同,一般实验只有两学时,充其量为四学时;而课程设计一般为一~两周。实验所要达到的目的较小。通常只是为了验证某一种理论、掌握某一种参数的测量方法、学习某一种仪器的使用方法等等;而课程没计则是涉及一门课程甚至几门课程的综合运

用,所以课程设计是大型的。 其次,完成任务的独立性不同,一般实验学生采用教师事先安排好的实验板和仪器,实验指导书上详细地介绍了做什么和如何做,实验时还有教师现场指导,学生主要任务是搭接电路,用仪器观察现象和读取数据,因此实验是比较容易完成的;而课程设计不同,课程设计只给出所要设计的部件或整机的性能参数,由学生自己去设计电路、设计和制作印刷电路板,然后焊接和调试电路,以达到性能要求。 课程设计和毕业设计性质非常接近,毕业设计是系统的工程设计实践,而课程设计则是工程设计实践的初步训练,它为毕业设计打下一定基础。课程设计与毕业设计在规模上和要求上,大小高低不同,但它们都属于工程设计,因此工作步骤是类似的。 1.2 课程设计的目的要求 1 、课程设计的目的是帮助学生综合运用所学的理论知识,把一些单元电路有机地组合起来,组成小的系统,使学生建立系统的概念;并使学生巩固和加强已学理论知识。并掌握一般电子电路分析和设计的基本步骤。 2 、掌握常用元器件的检测、识别方法及常用电子仪器的正确使用方法。 3 、掌握印制板的制作流程以及protel 99 SE的使用等基本技能。 4 、培养一定的独立分析问题、解决问题的能力。对设计中遇到的问题能通过独立思考、查阅有关资料,寻找解决问题的途径;对调试中

单片机课程设计信号发生器

单片机课程设计实验报告 电子信息工程学院 指导教师:***

08年6月30日 单片机课程设计实验报告 一.系统总体介绍 1)题目意义: 这次课程设计的题目我选择的是信号发生器,我之所以选择这个题目的原因有三个 ①它是一个DA转换的实验,在前不久的市电子大赛中,我们做的是AD的转换,所 以想将模数/数模都熟悉一遍,为今后可能遇到的接口实验打下坚实的基础; ②另外一个原因是用到的芯片是MAX518,该芯片是串行数模转换,运用I2C总线, 通过这个实验可以更好的运用串行通信,同时能够学习I2C总线的协议,掌握了一 门新的总线,我觉得比其他实验收获更大; ③MAX518的时序比较复杂,通过练习针对时序的编程可以更好的提高自己读PDF 资料的能力和编程的能力。 2)本人所做的工作 这个实验从始至终都是自己完成的。 ①程序的编写,程序的编写是我结合MAX518的时序图编写出来的,编程的重点在于 对与MAX518的编程,在编程的过程中对于应答信号的理解和处理是整个程序的核心,在单步调试中能够很明显的观测到SDA和SCL信号线上电平的变化; ②四种波形的表格数据的建立。表格的建立是通过MATLAB函数产生的。其函数分别 为:正弦波y=round(127*sin(0:2*pi/256:2*pi))+127 锯齿波y=round(0:1:255) 三角波y=round(0:2:255) Y=round(255:2:0) 方波直接是0和255 由于MATLAB产生的数据之间含有回车和空格,不符合汇编语言的语法规则,所以要用WORD对所得的数据进行处理,利用WORD的查找替换同能讲回车和空格替换为英文的逗号,其中回车的表示方法为^p ③学习KEIL和SSTFlashFlex51.exe的使用 ④元器件的购买和焊接 ⑤实验报告的完成 3)系统的主要功能 该系统能够产生正弦波,锯齿波,三角波和方波四种波形,同时能够产生16HZ,12HZ,10HZ,8HZ四种频率,也就是可以产生4*4=16种信号,通过8个按键

函数信号发生器课程设计报告书

信号发生器 一、设计目的 1.进一步掌握模拟电子技术的理论知识,培养工程设计能力 和综合分析问题、解决问题的能力。 2.基本掌握常用电子电路的一般设计方法,提高电子电路的 设计和实验能力。 3.学会运用Multisim10仿真软件对所作出的理论设计进行 仿真测试,并能进一步完善设计。 4.掌握常用元器件的识别和测试,熟悉常用仪表,了解电路 调试的基本方法。 二、设计容与要求 1.设计、组装、调试函数信号发生器 2.输出波形:正弦波、三角波、方波 3.频率围:10Hz-10KHz围可调 4.输出电压:方波V PP<20V, 三角波V PP=6V, 正弦波V PP>1V 三、设计方案仿真结果 1.正弦波—矩形波—三角波电路 原理图:

首先产生正弦波,再由过零比较器产生方波,最后由积分电路产生三角波。正弦波通过RC串并联振荡电路(文氏桥振荡电路)产生,利用集成运放工作在非线性区的特点,由最简单的过零比较器将正弦波转换为方波,然后将方波经过积分运算变换成三角波。 正弦—矩形波—三角波产生电路: 总电路中,R5用来使电路起振;R1和R7用来调节振荡的频率,R6、R9、R8分别用来调节正弦波、方波、三角波的幅值。左边第一个运放与RC串并联电路产生正弦波,中间部分为过零比较器,用来输出方波,最好一个运放与电容组成积分电路,用来输出三角波。

仿真波形: 调频和调幅原理 调频原理:根据RC 振荡电路的频率计算公式 RC f o π21 = 可知,只需改变R 或C 的值即可,本方案中采用两个可变电阻R1和R7同时调节来改变频率。 调幅原理:本方案选用了最简单有效的电阻分压的方式调幅,在输出端通过电阻接地,输出信号的幅值取决于电阻分得的电压多少。其最大幅值为电路的输出电压峰值,最小值为0。 RC 串并联网络的频率特性可以表示为 ) 1(311112 1 2 RC RC j RC j R C j R RC j R f Z Z Z U U F ωωωωω-+=++++=+= = ? ? ? 令,1 RC o =ω则上式可简化为) ( 31 ω ωωωO O j F -+ = ? ,以上频率特性可 分别用幅频特性和相频特性的表达式表示如下:

序列信号发生器分析

华南师范大学实验报告 学生姓名林竞浩李瑜贤学号20102804016 专业多媒体与网络技术年级、班级2010级4班 课程名称模拟电路与数字电路实验项目555定时器的应用 实验类型□验证□设计□综合实验时间2011年月日 实验指导老师实验评分 一、实验目的 1 学会构建序列发生器的基本方法 2掌握对序列信号发生器序列信号的测试分析方法。 二、实验仪器 安装有Multisim10软件的个人电脑 三、实验原理 序列信号器产生序列信号,有多种方法。本实验采用计数器和数据选择器构成发生。图一中四位二进制同步计数器74S163状态输出端QC,QB,QA输出的数据,送入8选1数据选择器74S151的地址输入端ABC,需要获取产生的序列信号接至数据选择器74S151数据输入端D0-D7,数据从Y或W端输出,实验电路原理图如下

四、实验步骤 1设定产生周期为00010111序列信号。 2打开电脑Multisim10操作平台,从TTL元件库中取出74S163,74S151,显示器件库中取下带译码器的数码管及探针等器件,以及逻辑分析仪,按实验电路图连接好。 3设定时钟信号发生器V1的频率为100HZ .调整好实验电路后,数码管有0-7计数显示,探针有闪动。 4双击打开逻辑分析仪工作界面,以备测试波形。调整逻辑分析仪时钟源为外同步。正常后,观察数码管,探针,逻辑分析仪波形的变化,把相关数据填入表1中 输入时钟脉冲计数器输出逻辑指示灯数码管显示 QC QB QA Y 0 0 0 0 N 0 1 0 0 1 N 1 2 0 1 0 N 2 3 0 1 1 Y 3 4 1 0 0 N 4 5 1 0 1 Y 5

信号发生器的设计实现

电子电路综合设计 总结报告 设计选题 ——信号发生器的设计实现 姓名:*** 学号:*** 班级:*** 指导老师:*** 2012

摘要 本综合实验利用555芯片、CD4518、MF10和LM324等集成电路来产生各种信号的数据,利用555芯片与电阻、电容组成无稳态多谐振荡电路,其产生脉冲信号由CD4518做分频实现方波信号,再经低通滤波成为正弦信号,再有积分电路变为锯齿波。此所形成的信号发生器,信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。在此过程中,综合的运用多科学相关知识进行了初步工程设计。

设计选题: 信号发生器的设计实现 设计任务要求: 信号发生器形成的信号产生的种类、频率、幅值均为可调,信号的种类、频率可通过按键来改变,幅度可以通过电位器来调节。信号的最高频率应该达到500Hz以上,可用的频率应三个以上,T,2T,3T 或T,2T,4T均可。信号的种类应三种以上,必须产生正弦波、方波,幅度可在1~5V之间调节。 正文 方案设计与论证 做本设计时考虑了三种设计方案,具体如下: 方案一 实现首先由单片机通过I/O输出波形的数字信号,之后DA变换器接受数字信号后将其变换为模拟信号,再由运算放大器将DA输出的信号进行放大。利用单片机的I/O接收按键信号,实现波形变换、频率转换功能。

基本设计原理框图(图1) 时钟电路 系统的时钟采用内部时钟产生的方式。单片机内部有一个用于构成振荡器的高增益反相放大器,该高增益反相放大器的输入端为芯片引脚XTAL1,输出端为引脚XTAL2。这两个引脚跨接石英晶体振荡器和微调电容,就构成一个稳定的自激振荡器。晶振频率为11.0592MHz,两个配合晶振的电容为33pF。 复位电路 复位电路通常采用上电自动复位的方式。上电自动复位是通过外部复位电路的电容充电来实现的。 程序下载电路 STC89C51系列单片机支持ISP程序下载,为此,需要为系统设计ISP下载电路。系统采用MAX232来实现单片机的I/O口电平与RS232接口电平之间的转换,从而使系统与计算机串行接口直接通信,实现程序下载。 方案一的特点: 方案一实现系统既涉及到单片机及DA、运放的硬件系统设计,

模拟电路课程设计-函数信号发生器

模拟电路课程设计——函数信号发生器 一、设计任务和要求 1 在给定的±12V直流电源电压条件下,使用运算放大器设计并制作一个函 数信号发生器。 2 信号频率:1kHz~10kHz 3 输出电压:方波:Vp-p≤24V 三角波:Vp-p≤6V 正弦波: Vp-p>1V 4 方波:上升和下降时间:≤10ms 5 三角波失真度:≤2% 6 正弦波失真度:≤5% 二、设计方案论证 1.信号产生电路 〖方案一〗 由文氏电桥产生正弦振荡,然后通过比较器得到方波,方波积分可得三角波。三角波 这一方案为一开环电路,结构简单,产生的正弦波和方波的波形失真较小。但是对于三角波的产生则有一定的麻烦,因为题目要求有10倍的频率覆盖系数,然而对于积分器的输入输出关系为: 显然对于10倍的频率变化会有积分时间dt的10倍变化从而导致输出电压振幅的10倍变化。而这是电路所不希望的。幅度稳定性难以达到要求。而且通过仿真实验会发现积分器极易产生失调。 〖方案二〗 由积分器和比较器同时产生三角波和方波。其中比较器起电子开关的作用,将恒定的正、负极性的 方波 三角波 电位交替地反馈积分器去积分而得到三角波。该电路的优点是十分明显的: 1 线性良好、稳定性好;

2 频率易调,在几个数量级的频带范围内,可以方便地连续地改变频率, 而且频率改变时,幅度恒定不变; 3 不存在如文氏电桥那样的过渡过程,接通电源后会立即产生稳定的波 形; 4 三角波和方波在半周期内是时间的线性函数,易于变换其他波形。 综合上述分析,我们采用了第二种方案来产生信号。下面将分析讨论对生成的三角波和方波变换为正弦波的方法。 2.信号变换电路 三角波变为正弦波的方法有多种,但总的看来可以分为两类:一种是通过滤波器进行“频域”处理,另一种则是通过非线性元件或电路作折线近似变换“时域”处理。具体有以下几种方案: 〖方案一〗 采用米勒积分法。设三角波的峰值为,三角波的傅立叶级数展开: 通过线性积分后: 显见滤波式的优点是不太受输入三角波电平变动的影响,其缺点是输出正弦波幅度会随频率一起变化(随频率的升高而衰减),这对于我们要求的10倍的频率覆盖系数是不合适的。另外我们在仿真时还发现,这种积分滤波电路存在这较明显的失调,这种失调使输出信号的直流电平不断向某一方向变化。 积分滤波法的失调图(Protel 99 SE SIM99仿真) 而且输出存在直流分量。 〖方案二〗 才用二极管-电阻转换网络折线逼近法。十分明显,用折线逼近正弦波时,如果增多折线的段数,则逼近的精度会增高,但是实际的二极管不是理想开关,存在导通阈值问题,故不可盲目的增加分段数;在所选的折线段数一定的情况下,转折电的位置的选择也影响逼近的精度。凭直观可以判知,在正弦波变化较快的区段,转折点应选择的密一些;而变化缓慢的区段应选的稀疏一些。 二极管-电阻网络折线逼近电路对于集成化来说是比较简单,但要采用分立元件打接则会用到数十个器件,而且为了达到较高的精度所有处于对称位置的电阻和

函数信号发生器设计报告

函数信号发生器设计报告 一、 设计要求 设计制作能产生正弦波、方波、三角波等多种波形信号输出的波形发生器,具体要求: (1) 输出波形工作频率范围为2HZ ~200KHZ ,且连续可调; (2) 输出频率分五档:低频档:2HZ ~20HZ ;中低频档:20HZ ~200HZ ; 中频档:200HZ ~2KHZ ;中高频档:2KHZ ~20KHZ ;高频档:20KHZ ~200KHZ 。 (3) 输出带LED 指示。 二、 设计的作用、目的 1. 掌握函数信号发生器工作原理。 2. 熟悉集成运放的使用。 3. 熟悉Multisim 软件。 三、 设计的具体实现 3.1函数发生器总方案 采用分立元件,设计出能够产生正弦波、方波、三角波信号的各个单元电路,利用Multisim 仿真软件模拟,调试各个参数,完成单元电路的调试后连接起来,在正弦波产生电路中加入开关控制,选择不同档位的元件,达到输出频率可调的目的。 总原理图:

3.2单元电路设计、仿真 Ⅰ、RC桥式正弦波振荡电路 图1:正弦波发生电路 正弦波振荡器是在只有直流供电、不加外加输入信号的条件下产生正弦波信号的电路。 正弦波产生电路的基本结构是:引入正反馈的反馈网络和放大电路。其中:接入正反馈是产生振荡的首要条件,它又被称为相位条件;产生振荡必须满足幅度条件;要保证输出波形为单一频率的正弦波,必须具有选频特性;同时它还应具有稳幅特性。因此,正弦波产生电路一般包括:放大电路、反馈网络、选频网络、稳幅电路四个部分。根据选频电路回路的不同,正弦波振荡器可分为RC正弦波振荡器、LC正弦波振荡器和石英晶体振荡器。其中,RC正弦波振荡器主要用于产生中低频正弦波,振荡频率一般小于1MHz,满足本次设计要求,故选用RC 正弦波振荡器。

高频课程设计_LC振荡器_克拉泼.(DOC)

高频电子线路课程设计报告设计题目:高频正弦信号发生器 2015年 1月 6 日

目录 一、设计任务与要求 (1) 二、设计方案 (1) 2.1电感反馈式三端振荡器 (2) 2.2电容反馈式三端振荡器 (2) 2.3克拉波电路振荡器 (6) 三、设计内容 (8) 3.1LC振荡器的基本工作原理 (8) 3.2克拉泼电路原理图 (9) 3.2.1振荡原理 (9) 3.3克拉泼振荡器仿真 (10) 3.4.1软件简介 (10) 3.4.2进行仿真 (10) 3.4.3电容参数改变对波形的影响 (11) 四、总结 (17) 五、主要参考文献 (18) 六、附录.................................................................................... .. (18)

一、设计任务与要求 为了熟悉《高频电子线路》课程中所学到的知识,在本课程设计中,我和队友(石鹏涛、甘文鹏)对LC正弦波振荡器进行了分析和研究。通过对几种常见的振荡器(电感反馈式三端振荡器、电容反馈式三端振荡器、改进型电容反馈式振荡器)进行分析论证,我们最终选择了克拉泼振荡器。 在本次课程设计中,设计要求产生10~20Mhz的振荡频率。振荡器的种类很多,适用的范围也不相同,但它们的基本原理都是相同的,都由放大器和选频网络组成,都要满足起振,平衡和稳定条件。然后通过所学的高频知识进行初步设计,由于受实践条件的限制,在设计好后,我利用了模拟软件进行了仿真与分析。为了学习Multisim软件的使用,以及锻炼电子仿真的能力,我们选用的仿真软件是Multisim11.0版本,该软件提供了功能强大的电子仿真设计界面和方便的电路图和文件管理功能。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。NI Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。 最后我们利用了仿真软件对电路进行了一写的仿真分析,如改变电容的参数,分析对电路产生的影响等,再考虑输出频率和振幅的稳定性,得到了与理论值比较相近的结果,这表明电路的原理设计是比较成功的,本次课程设计也是比较成功的。 二:设计方案 通过学习高频电子线路的相关知识,我们知道LC正弦波振荡器主要有电感反馈式三端振荡器、电容反馈式三端振荡器以及改进型电容反馈式振荡器(克拉波电路)等。通过老师所讲和查阅相关资料可知,克拉泼振荡电路具有该电路频率稳定性非常高,振幅稳定,适合做波段振荡器等优点。所以在本设计中拟采用改进型电容反馈式--克拉泼电路振荡器。 下面对几种振荡器进行分析论证: 2.1电感反馈式三端振荡器

三位二进制加法计数器、序列信号发生器的设计、用集成芯片设计一个256进制加法计数器

目录 1课程设计的目的与作用 (1) 2设计任务 (1) 2.1同步计数器 (1) 2.2序列信号发生器 (1) 3设计原理 (1) 3.1同步计数器 (1) 3.1.1加法计数器 (2) 3.1.2减法计数器 (2) 3.1.3用集成芯片设计一个256进制的加法器 (2) 3.2序列信号发生器 (3) 4实验步骤 (3) 4.1同步计数器 (3) 4.1.1加法计数器 (4) 4.1.2减法计数器 (7) 4.1.3用集成芯片设计一个256进制的加法器 (10) 4.2序列信号发生器 (11) 5设计总结与体会 (14) 6参考文献 (15)

1课程设计的目的与作用 1.了解同步计数器及序列信号发生器工作原理; 2.掌握计数器电路的分析,设计方法及应用; 3.掌握序列信号发生器的分析,设计方法及应用; 2设计任务 2.1同步计数器 1.使用设计一个循环型3位2进制加法计数器,其中无效状态为(001,010),组合电路 选用与门和与非门等。 2.根据自己的设计接线。 3.检查无误后,测试其功能。 2.2序列信号发生器 1.使用设计一个能循环产生给定序列的序列信号发生器,其中发生序列(1000001),组 合电路选用与门和与非门等。 根据自己的设计接线。 2.检查无误后,测试其功能。 3设计原理 3.1同步计数器 (1)计数器是用来统计输入脉冲个数电路,是组成数字电路和计算机电路的基本时序逻辑部件。计数器按长度可分为:二进制,十进制和任意进制计数器。计数器不仅有加法计数器,也有减法计数器。如果一个计数器既能完成累加技术功能,也能完成递减功能,则称其为可逆计数器。在同步计数器中,个触发器共用同一个时钟信号。 (2)时序电路的分析过程:根据给定的时序电路,写出各触发器的驱动方程,输出方程,

浅谈利用单片机设计PWM脉冲信号发生器

浅谈利用单片机设计PWM脉冲信号发生器 发表时间:2014-01-09T11:41:33.297Z 来源:《中国科技教育·理论版》2013年第11期供稿作者:王雪娇胡恒铮 [导读] 除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 王雪娇胡恒铮无锡技师学院 214153 摘要脉冲宽度调制(PWM)在电子技术领域中应用十分广泛,但是利用模拟电路实现脉宽调制功能十分复杂、不经济。随着微处理器的发展,运用数字输出方式去控制实现PWM的功能就变得简单快捷,本文就如何利用89S52单片机软件编程设计出周期一定而占空比可调的脉冲波,也就是实现PWM功能进行设计,它可以代替模拟电路的PWM脉冲信号发生器。 关键词单片机 PWM 数字控制 PWM是脉冲宽度调制(Pulse Width Modulation)的英文缩写,它是开关型稳压电源中按稳压的控制方式分类中的一种,而脉宽宽度调制式(PWM)开关型稳压电路是在控制电路输出频率不变的情况下,通过电压反馈调整其占空比,从而达到稳定输出电压的目的。 简单的说,PWM是一种对模拟信号电平进行数字编码的方法。理论上讲就是电压或电流源以一种通(ON)或断(OFF)的重复脉冲序列被加到模拟负载上去的,通的时候就是电源被加到负载上,断的时候就是供电被断开的时候,所以PWM信号仍然是数字的。要想达到这样一种脉宽调制效果,模拟电压和电流时可以直接控制。例如音响的音量控制,在简单的模拟电路中,它的控制是由连接了一个可变电阻的旋钮来实现的,其过程是拧动旋钮,电阻值变小或变大,流过该电阻的电流也随之增加来减小,从而改变驱动扬声器的电流值,那么声音也就相应变大或变小。从这个例子来看,模拟控制是直观而简单的,但是并不是所有的模拟电路都是可行并且经济的,其中很重要的一点就是模拟电路容易随时间漂移,它的调节过程就很困难,为了解决问题就要增加很多的电路,使得电路变得复杂并且昂贵。除此之外,模拟电路中许多的元器件会发热,也就相对提高了电路的功耗,并且对噪声也敏感,任何干扰或噪声都会改变电流值的大小。 综上所述,通过数字方式来控制模拟电路可以大幅度降低系统的成本和功耗,而单片机I/O口的数字输出可以很简单地发出一个脉冲波,在配以外部元器件就可以调节脉冲波的占空比,完成PWM的功能。本文主要介绍利用89S52系列的单片机,控制某个I/O口中一个管脚的数字输出,生成相应周期的脉冲波,并利用按键控制其占空比的调节,包括了占空比自小到大和自大到校的顺序及倒序可调,其调节范围广,操作简便,各元器件间的干扰较小,对模拟电路的控制十分有效。 1.PWM波的生成 PWM波既为数字输出,就是其幅值只有高电平(ON)和低电平(OFF)之分,所以只要使单片机中作为PWM波输出端的那个管脚输出“1”和“0”,并且搭配不同的时间段,就可以形成不同周期的PWM波。举例说明:若要生成周期为10ms的脉冲,就可以利用单片机编程指令控制其输出端输出“1”,并且保持一段时间tp,然后再输出“0”,同样使其保持一段时间tr,两种数字输出保持的时间必须要满足,现就已生成10ms周期的脉冲波,而PWM波与该脉冲波的区别就是还要能够调节占空比。占空比是指正半周脉宽占整个周期的比例,即高电平保持时间于周期的比值,该比值为百分数(),因此在周期一定的情况下,调节占空比就是调节高电平保持的时间。 2.应用编程 本文介绍的PWM波是利用单片机定时中断去确定脉冲波的周期,并且通过两个按键自增和自减某个变量送至中断中,通过此变量去分配高低电平各自占用的时间,形成不同的占空比,即假设一个周期满额比例值为10,则高电平保持时间的比例为该变量值,那么低电平保持时间的比例就是10减去该变量值。 如图1所示为单片机的外部接线图,其中省略了单片机最小系统,此图即可利用89SC52单片机设计出满足周期为10ms、初始占空比为50%、占空比调节范围为0~100%的PWM脉冲信号发生器。占空比调节范围是指高电平保持时间为0~10ms,那么低电平保持时间就是10ms~0。P0.7脚为PWM波输出口,作为PWM脉冲信号发生器可连接其它电路,本文仅连接示波器去观察波形的占空比变化情况,P2.0脚为自增按钮控制端,每按一次高电平保持时间增加1ms,P2.1脚为自减按钮控制端,每按一次高电平保持时间减少1ms。图2所示为初始

(完整版)高频电子线路课程设计

课程设计 班级:电信12-1班 姓名:徐雷 学号:1206110123 指导教师:李铁 成绩: 电子与信息工程学院 信息与通信工程系

目录 摘要 (1) 引言 (2) 1. 概述 (3) 1.1 LC振荡器的基本工作原理 (3) 1.2 起振条件与平衡条件 (4) 1.2.1 起振条件 (4) 1.2.2平衡条件 (4) 1.2.3 稳定条件 (4) 2. 硬件设计 (5) 2.1 电感反馈三点式振荡器 (5) 2.2 电容反馈三点式振荡器 (6) 2.3改进型反馈振荡电路 (7) 2.4 西勒电路说明 (8) 2.5 西勒电路静态工作点设置 (9) 2.6 西勒电路参数设定 (10) 3. 软件仿真 (11) 3.1 软件简介 (11) 3.2 进行仿真 (12) 3.3 仿真分析 (13) 4. 结论 (13) 4.1 设计的功能 (13) 4.2 设计不足 (13) 4.3 心得体会 (14) 参考文献 (14)

徐雷:LC振荡器设计 摘要 振荡器是一种不需要外加激励、电路本身能自动地将直流能量转换为具有某种波形的交流能量的装置。种类很多,使用范围也不相同,但是它们的基本原理都是相同的,即满足起振、平衡和稳定条件。通过对电感三点式振荡器(哈脱莱振荡器)、电容三点式振荡器(考毕兹振荡器)以及改进型电容反馈式振荡器(克拉波电路和西勒电路)的分析,根据课设要求频率稳定度为10-4,西勒电路具有频率稳定性高,振幅稳定,频率调节方便,适合做波段振荡器等优点,因此选择西勒电路进行设计。继而通过Multisim设计电路与仿真。 关键词:振荡器;西勒电路;Multisim Abstract The oscillator is a kind of don't need to motivate, circuit itself automatically device for DC energy into a waveform AC energy applied. Many different types of oscillators, using range is not the same, but the basic principles are the same, to meet the vibration, the equilibrium and stability conditions. Based on the inductance of the three point type oscillator ( Hartley), three point capacitance oscillator ( Colpitts) and improved capacitor feedback oscillator (Clapp and Seiler) analysis, according to class requirements, Seiler circuit with high frequency stability, amplitude stability frequency regulation, convenient, suitable for the band oscillator etc., so the final choice of Seiler circuit design. Then through the Multisim circuit design and simulation. Key Words:Oscillator; Seiler; Multisim 1

信号发生器课程设计报告

目录 一、课题名称 (2) 二、内容摘要 (2) 三、设计目的 (2) 四、设计内容及要求 (2) 五、系统方案设计 (3) 六、电路设计及原理分析 (4) 七、电路仿真结果 (7) 八、硬件设计及焊接测试 (8) 九、故障的原因分析及解决方案 (11) 十、课程设计总结及心得体会 (12)

一、课题名称:函数信号发生器的设计 二、内容摘要: 函数信号发生器作为一种常用的信号源,是现代测试领域内应用最为广泛的通用仪器之一。在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波,方波等,因而此次课程设计旨在运用模拟电子技术知识来制作一个能同时输出正弦波、方波、三角波的信号发生器。 三、设计目的: 1、进一步掌握模拟电子技术知识的理论知识,培养工程设计能力和综合分析能力、解决问题的能力。 2、基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力。 3、学会运用Multisim仿真软件对所做出来的理论设计进行仿真测试,并能进一步解决出现的基本问题,不断完善设计。 4、掌握常用元器件的识别和测试,熟悉万用表等常用仪表,了解电路调试的基本方法,提高实际电路的分析操作能力。 5、在仿真结果的基础上,实现实际电路。 四、设计内容及要求: 1、要求完成原理设计并通过Multisim软件仿真部分 (1)RC桥式正弦波产生电路,频率分别为300Hz、1KHz、10KHz、500KHz,输出幅值300mV~5V可调、负载1KΩ。 (2)占空比可调的矩形波电路,频率3KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (3)占空比可调的三角波电路,频率1KHz,占空比可调范围10%~90%,输出幅值3V、负载1KΩ。 (4)多用信号源产生电路,分别产生正弦波、方波、三角波,频率范围

脉冲信号发生器设计

脉冲信号发生器 摘要:本实验是采用fpga方式基于Alter Cyclone2 EP2C5T144C8的简易脉冲信号发生器,可以实现输出一路周期1us到10ms,脉冲宽度:0.1us到周期-0.1us,时间分辨率为 0.1us的脉冲信号,并且还能输出一路正弦信号(与脉冲信号同时输出)。输出模式 可分为连续触发和单次手动可预置数(0~9)触发,具有周期、脉宽、触发数等显示功能。采用fpga计数实现的电路简化了电路结构并提高了射击精度,降低了电路功耗和资源成本。 关键词:FPGA;脉冲信号发生器;矩形脉冲;正弦信号; 1 方案设计与比较 脉冲信号产生方案: 方案一、采用专用DDS芯片的技术方案: 目前已有多种专用DDS集成芯片可用,采用专用芯片可大大简化系统硬件制作难度,部数字信号抖动小,输出信号指标高;但专用芯片控制方式比较固定,最大的缺点是进行脉宽控制,测量困难,无法进行外同步,不满足设计要求。 方案二、单片机法。 利用单片机实现矩形脉冲,可以较方案以更简化外围硬件,节约成本,并且也可以实现灵活控制、能产生任意波形的信号发生器。但是单片机的部时钟一般是小于25Mhz,速度上无法满足设计要求,通过单片机产生脉冲至少需要三条指令,所需时间大于所要求的精度要求,故不可取。 方案二:FPGA法。利用了可编程逻辑器件的灵活性且资源丰富的特点,通过Quartus 软件的设计编写,实现脉冲信号的产生及数控,并下载到试验箱中,这种方案电路简单、响应速度快、精度高、稳定性好故采用此种方案。 2 理论分析与计算 脉冲信号产生原理:输入量周期和脉宽,结合时钟频率,转换成两个计数器的容量,用来对周期和高电平的计时,输出即可产生脉冲信号。 脉冲信号的精度保证:时间分辨率0.1us,周期精度:+0.1%+0.05us,宽度精度:

高频课程设计

中原工学院 课程设计报告 课题名称:AM传输系统设计 姓名:xxxxx 班级:信息类101 学号:xxxxx 同组人员:xxxxxx 指导教师:魏平俊、高丽

现代通信电路 课程设计任务书 1、设计题目:AM传输系统的设计 2、包含项目: (1)信号源产生模块(模拟语音信号); (2)载波信号产生模块 (3)AM调制器:平衡调制器 (4)AM解调器:解调AM信号 3、设计要求: (1)在进入实验室进行实际操作前,提交准备报告:包括综合设计概况、主要技术指标、相应模块的实现方法;提交模块的 电路原理图;提交采用的器件资料。 (2)实验操作可在ZH5006综合设计实验箱上进行,也可在高频电路实验台上进行。要求自行安装语音信号产生模块,其他 模块采用标准模块。 (3)在进入实验室进行实际操作后,提交课程设计报告。报告格式参照中原工学院课程设计指导手册。 4、分组安排: 实验操作分两组进行:一组进行电路安装、调试,一组进行设计电路原理图、软件仿真。然后再对调工作。 5、时间安排: (1)第1天:布置任务,讲解设计方法,进行预设计; (2)第2-3天:第一组进行电路安装、调试,第二组进行设计电路原理图、软件仿真。 (3)第3-4天:第二组进行电路安装、调试,第一组进行设计电路原理图、软件仿真。 (4)第5天:撰写设计报告。

目录 一、绪论 (4) 1.1设计目的 (4) 1.2设计内容 (4) 1.3设计要求 (4) 1.4设计流程 (5) 二、课程设计详细内容及步骤 (6) 2.1信号源产生模块 (6) 2.2载频信号产生模块 (9) 2.3AM调制器模块 (12) 2.4AM解调器模块 (14) 三、课程设计过程分析 (17) 3.1仿真分析 (17) 3.2焊接连线调试分析过程 (22) 3.3遇到问题,解决办法及心得体会 (24) 四、参考文献 (24) 附录A工具元件清单附录B仿真结果

简易信号发生器单片机课程设计报告

课程设计(论文)任务书 电气学院电力系统及其自动化专业12(1 )班 一、课程设计(论文)题目:简易信号发生器设计 二、课程设计(论文)工作自 2015年1 月12 日起至2015 年 1月16 日止。 三、课程设计(论文) 地点:电气学院机房 10-303 四、课程设计(论文)内容要求: 1.课程设计的目的 (1)综合运用单片机原理及应用相关课程的理论知识和实际应用知识,进行单片机应用系统电路及程序设计,从而使这些知识得到进一步的巩固,加深和发展;(2)熟悉和掌握单片机控制系统的设计方法,汇编语言程序设计及proteus 软件的使用; (3)通过查阅图书资料、以及书写课程设计报告可提高综合应用设计能力,培养独立分析问题和解决问题的能力。 2.课程设计的内容及任务 (1)可产生频率可调的正弦波(64个点)、方波、锯齿波或三角波。 (2)显示出仿真波形。 (3)通过按键选择输出波形的种类。 (4)在此基础上使输出波形的幅值可控。

3.课程设计说明书编写要求 (1)设计说明书用A4纸统一规格,论述清晰,字迹端正,应用资料应说明出处。(2)说明书内容应包括(装订次序):题目、目录、正文、设计总结、参考文献等。应阐述整个设计内容,要重点突出,图文并茂,文字通畅。 (3)报告内容应包括方案分析;方案对比;整体设计论述;硬件设计(电路接线,元器件说明,硬件资源分配);软件设计(软件流程,编程思想,程序注释,) 调试结果;收获与体会;附录(设计代码放在附录部分,必须加上合理的注释)(4) 学生签名: 2015年1月16 日 课程设计(论文)评审意见 (1)总体方案的选择是否正确;正确()、较正确()、基本正确()(2)程序仿真能满足基本要求;满足()、较满足()、基本满足()(3)设计功能是否完善;完善()、较完善()、基本完善()(4)元器件选择是否合理;合理()、较合理()、基本合理()(5)动手实践能力;强()、较强()、一般()(6)学习态度;好()、良好()、一般()(7)基础知识掌握程度;好()、良好()、一般()(8)回答问题是否正确;正确()、较正确()、基本正确()、不正确() (9)程序代码是否具有创新性;全部()、部分()、无() (10)书写整洁、条理清楚、格式规范;规范()、较规范()、一般()总评成绩优()、良()、中()、及格()、不及格() 评阅人:

基于51单片机的信号发生器设计报告

基于51单片机的信号发生器设计报告 二零一四年十二月十一日

摘要 根据题目要求以及结合实际情况,本文采用一种以AT89C51单片机为核心所构成的波形发生器,可产生方波、三角波、正弦波、锯齿波等多种波形,波形的频率可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。本设计经过测试,性能和各项指标基本满足题目要求。 关键词:信号发生器 DAC0832芯片 LM358运放 89C51芯片

目录 摘要...................................................................... 目录...................................................................... 第一章绪论................................................................. 1.1单片机概述........................................................... 1.2信号发生器的概述和分类.............................................. 1.3问题重述及要求....................................................... 第二章方案的设计与选择................................................... 2.1方案的比较........................................................... 2.2设计原理 ............................................................. 2.3设计思想 ............................................................. 2.4实际功能 ............................................................. 第三章硬件设计............................................................ 3.1硬件原理框图......................................................... 3.2主控电路 ............................................................. 3.3数、模转换电路....................................................... 3.4按键接口电路......................................................... 3.5时钟电路 ............................................................. 3.6显示电路 ............................................................. 第四章软件设计............................................................ 4.1程序流程图........................................................... 参考文献.................................................................... 附录1 电路原理图 .......................................................... 附录2 源程序............................................................... 附录3 器件清单......................................................

相关文档
最新文档