三人表决器电路设计与装调

三人表决器电路设计与装调
三人表决器电路设计与装调

项目1三人表决器电路设计与装调

项目要求

在理解各种逻辑关系,掌握门电路的逻辑功能和外部特性的基础上,应用相关集成门电路完成三人表决器的电路设计与装调。

项目目标

熟悉逻辑函数的表示方法与化简方法

理解晶体管的开关特性

了解TTL门电路的内部机构和工作原理

掌握TTL门电路的基本使用方法

了解TTL工作门电路的基本使用方法

了解TTL电路和CMOS电路的基本使用方

掌握逻辑门电路的应用

项目介绍

本项目为三人表决器电路,用集成门电路构成简易型四人抢答器。A、B、C、D为抢答操作按钮开关。任何一个人先将某一开关按下且保持闭合状态,则与其对应的发光二极管(指示灯)被点亮,表示此人抢答成功;而紧随其后的其他开关再被按下,与其对应的发光二极管则不亮。

简单抢答器电路图如图1.1所示。

专题一数制和码制

了解数的进制概念,掌握二进制、八进制、十六进制、十进制的表示方法

掌握二进制与十进制、八进制、十六进制的项目转化

了解码制的概念,掌握几种常见的码制表示方法,并能熟悉运用。

1.1.1数制

主题目标

1、十进制

十进制数是人们熟悉的数制,有0---9是个数制符,它是逢十进位,各位的权是10的幂。例如,2315这个数可以写成

2315=2*102 +3*102+1*101+5*100

任意一个十进制的数可以记作(N)10=∑Ki*10i

2、二进制

二级制数中只有0和1两个数字符号,它是逢二进位,各位的权是2的幂。例如(100101)2 =1*25+0*24+0*23+1*22+0*21+1*20

N位二进制整数可以表达成

(N)2=∑Ki*2i

3、八进制和十六进制

(1)八进制八进制中只有0-7八个数字符号,它逢八进位,各位的权是八的幂。例如(1207)8=1*83+2*82+0*81+7*80

N位八进制正整数的表达式可以写成

(N)8=∑Ki*8i

(2)十六进制十六进制有0-9、A、B、C、D、E、F、十六个数字,其中10-15分别用A-F 表示,逢十六进位,各位的权是16的幂。例如

(2C7F)16 =2*163+12*162+7*161+15*160

N位十六进制数的表达式可以写成

(N)16=∑Ki*16i

十六进制可以用字母“H”来表示,例如(2C7F)16 = (2C7F)H

4、不同数制之间的转换

(1)任意进制转换成十进制,通过前面的介绍,分别按公式展开,就是二进制、八进制、十六进制转化成十六进制的结果。

(100101)2 =1*25+0*24+0*23+1*22+0*21+1*20

(1207)8=1*83+2*82+0*81+7*80

(2C7F)16 =2*163+12*162+7*161+15*160

(2)十进制转换成二进制十进制转换成二进制的方法中整数转换和小数转换不同。

将十进制整数转换成二进制数的方法是:连续除以2,直到商为0,每次所得的余数从后向前排列即为转换后的二进制数整数部分,这种方法简称“除2取余法”。按此方法,可用竖式除法表示出上述转换过程。例如,将(302)10转换成二进制的竖式为

302/2 = 151 余0

151/2 = 75 余1

75/2 = 37 余1

37/2 = 18 余1

18/2 = 9 余0

9/2 = 4 余1

4/2 = 2 余0

2/2 = 1 余0

1/2 = 0 余1

故二进制为100101110

值得注意的是,最新除得的余数是最低位,而最后得到的余数为最高位

小数部分的转换方法:连续×2,一直得到小数部分为0(有些小数部分不能使×2结果为0,转换时刻根据实际需要保留确定保留小数位置),每次所得的整数部分从前向后排列为转换后的二进制小数部分,这种方法简称“乘二取整法”。

(3)二进制与八进制、十六进制之间的相互转换

1)二进制与八进制之间的相互转换。因为八进制的基数8=23,所以3位二进制数构成1位八进制数。当要将二进制数转换成八进制数时,只要从最低位开始,按3位分组,不满3位者在前面加0,每组以对应八进制数字代替,再按原来顺序排列即为等值的八进制数。

例如,将(11110100010)

2

转换成八进制为

011 110 100 010

3 6

4 2

即(11110100010)

2=(3642)

8

注意:3位分组时,必须从最低位开始。

反之,如果要将八进制正整数转换成二进制数,只需将每位八进制数写成对应的3位二进制数,再按原来的顺序排列就行了。

例如,将(473)8转换成二进制为

4 7 3

100 111 011

即(473)

8=(100111011)

2

(2)二进制与十六进制之间的相互转换。因为十六进制的基数

16=24,所以4位二进制数构成1位十六进制数,从最低位开始,每4位二进制数一组,对应进行转换,不满4位者在前面加0,具体方法与前面介绍的八进制的转换相同。

例如,将(10110100111100)

2

转换成十六进制为

0010 1101 0011 1100

2 D

3 C

即(10110100111100)

2=(2D3C)

16

反之,将(3AF6)16转换成二进制为

3 A F 6 0011 1010 1111 0110

即(3AF6)

16=(11101011110110)

2

1.1.2码制

在数字系统中,由0和1组成的二进制数不仅可以表示数值的大小,还可以用来表示特定的信息。用二进制数来表示一些具有特定含义信息的方法称为编码,用不同形式可以得到多种不同的编码,这就是码制。例如,用4位二进制数表示1位十进制数,称为二-十进制代码。常用的编码有二-十进制BCD码、格雷码和ASCII码等。

1.二-十进制代码

用四位二进制数组成一组代码,可用来表示0-9是个数字。4为二进制代码有24=16种状态,从中抽出十种组合表示0-9可以有多种方式,因此十进制代码有多种,几种常用的十进制代码有8421BCD码2421码 5211吗余3码(无权码)最常用的是8421BCD码,将十进制数的每一位用一个二进制数来表示,这个4位的二进制数每一位的权从高位到低位分别是8、4、2、1,由此规则构成的码称为8421BCD码。

例如(37)

10=(00110111)

8421BCD

对于2421码和5211码而言,若将每个代码看做是4为二级制数,不过从左而又每位的1表示2、4、2、1和5、2、1、1,则与每个代码等值的十进制数恰好就是它表示的十进制数,其中2421中的0和9码,1和8吗,2和7码,3和6码,4和5的代码均互为反码(即代码的每一位0和1的状态正好相反)

余3码是一套无权码,即每一位的1没有固定的权相对应,如果仍将每个代码视为4为二进制数,且自左向右每位的1分别为8、4、2、1、则等值的十进制数比他所有表示的十进制数多3,所有称余3码

2、格雷码

格雷码又称循环码,这是在检测和控制系统中的一种常用代码。她的特点是:相邻两个代码之间仅有一位不同,其余各位均相同。计数电路按格雷码计数时,每次状态仅仅变化一位代码,减少了出错的可能性。格雷码属于无权码,他有多种代码形式,其中最常用的一种是循环码。

专题二逻辑函数

专题要求:学会运用逻辑代数,分析问题,分许数字电路中的逻辑关系。专题目标:掌握三种基本逻辑关系及相应的符合逻辑关系。

掌握逻辑代数的基本公式和定律

掌握逻辑函数的各种表示方法以及相互转化

掌握逻辑函数的化简

了解逻辑函数的无关相概念,掌握含有无关相的化简方法

1.2.1 常用逻辑关系

1、与

只有当决定事物结果的所有条件全部具备时,结果才会发生,这种逻辑关系成为与逻辑关系。 如果用逻辑值中的1来表示灯亮和开关闭合,用0来表示灯灭和开关断开,这样可得到与逻辑的真值表:

A B Y A B Y 0 0

0 1

0 0

1 1

0 1

0 1

与逻辑运算也称“逻辑乘”。与逻辑运算的逻辑表达式表示为: Y=A*B 或 Y=AB (*可省略)

与逻辑运算的规律为: 输入有0得0 全1得1 与逻辑的逻辑符号如图 A Y B

2、或

当决定事物结果的几个条件中,只要有一个或一个以上的条件得到满足,结果就会发生,这种逻辑关系成为或逻辑关系。 或逻辑的真值表如图所示

A B Y A B Y 0 0

0 1

0 1

1 1

0 1

1 1

或逻辑也称“逻辑加”。或逻辑运算的逻辑表达式为: Y=A+B

或逻辑运算的规律为:有1得1 全0得0 或逻辑的运算符号如图所示 A

Y B

3、非

在事件中,结果总是和条件相反状态,这种逻辑关系成为非逻辑关系。 非逻辑真值表如图所示: A Y A Y 0

1

1

非逻辑运算也称“反运算”。非逻辑运算的逻辑表达式为Y= A 非逻辑运算的规律为:0变1,1变0,即“始终相反” 非逻辑的逻辑符号如图所示。A

Y

B

& ≥1

1

集中常用的符合逻辑关系

与、或、非运算时逻辑代数中最基本vendetta 三种运算,集中常见的符合逻辑关系的逻辑表达式、逻辑符号、真值表及逻辑运算规律如图: 逻辑关系名称 与非 或非 与或非 异或 同或 逻辑表达式

Y=AB Y= A+B

Y=AB+CD

Y=A ○+B

Y=A ○·B

逻辑符号

A B C =

真值表

AB Y AB Y ABCD Y AB Y AB Y 00 01 10 11

1 1 1 0

00 01 10 11

1 0 0 0

0000 0001 . . 1111

1 1 0

00 01 10 11

0 1 1 0

00 01 11 10

1 0 0 1

逻辑运算规律

有0得1 全1得0 有1得0 全0得1

与项为1,结果为0,其余输出全为1

不同为1 相同为0 不同为0 相同为12

1、2、2 逻辑代数的基本公式与定律 1、逻辑代数的基本公式 1、逻辑常量的运算公式 逻辑常量只有0和1两个。常量间的与或非三个基本运算公式如图:

与运算 或运算 非运算 与运算 或运算 非运算 0·0=0 0+0=0 - 1=0

1·0=0 1+0=1 - 0=1

0·1=0

0+1=1

1·1=1

1+1=1

2、逻辑变量、常用逻辑公式 设A 代表逻辑变量,则逻辑变量与常量之间的运算表:

与运算 或运算 非运算 与运算 或运算 非运算 A ·0=0 A+0=A = A=A

A ·A=A A+A=A = A=A

A ·1=A

A+1=A

-- A ·A=0

-- A+A=1

由于变量A 的取值只能是0或者1,因此当A ≠0时必有A=1,表中A ·A=A ,两个互反(又称互非)变量间的运算称为互补律,如 -- ;一个变量两次非运算称为还原律,

A ·A=0

如:=

A=A

≥1

=11 =11

2、逻辑代数的基本定律

逻辑代数基本定律是分析设计逻辑电路,化简和变化逻辑函数的重要工具。这些定律有

其独自具有的特性,但也有一些与普通代数相似的定律,因此要严格区分。

与普通代数相似的定律与普通代数相似的定律有交换律、结合律、分配率、见表:

交换律A+B=B+A 结合律A·B·C=(A·B)·C=A·(B·C) A·B=B·A 分配律A·(B+C)=A·+A·结合律A+B+C=(A+B)+C=A+(B+C)A·B·C=(A+B)·(A+C)

(2)吸收律吸收律可以利用上面的一些基本公式推导出来,时逻辑函数化简的常用基

本定律

A+AB=A

A(A+B)=A

--

A+AB=A+B

A(A+B)=AB

-- AB+AB=A

-- (A+B)(A+B)=A

AB+AC+BC=AB+AC AB+AC+BCD=AB+AC (A+B)(A+C)(B+C)=(A+B)(A+C) (A+B)(A+C)(B+C+D)=(A+B)(A+C)

(3) 摩根定律摩根定律又称反演律他有下面两种形式:

_____ __ __

A·B=A+B

____ __ __

A+B=A·B

1、2、3逻辑代数的基本规则

逻辑代数有下面三种基本原则:

1、带入原则在任何一个等式中,若等式中出现的同一个变量用同一个

逻辑函数替代,则等式的仍然成立,这一规则称为带入原则

2、反演原则在任何一个逻辑函数Y,如果将逻辑表达式中所有的·换

成+,+换成·,0换成1,1换成0,原变量换成饭变量,饭变量换成

原变量,则所得的逻辑表达式是函数Y的非。直接利用反演规则很容

易的一个函数的反函数,但是必须注意,不能破坏原式的运算次序,上式中的括号是必不可少的,此外,不属于单个变量的非号要保留。

3、对偶原则设Y为一个逻辑函数,如果将该逻辑表达式所有的·换成+,

+换成·,0换成1,1换成0,就可以得到新的逻辑函数Y`,Y和Y`

是互为对偶的,这种原则称为对偶原则,对偶变换要注意保持变换前

后运算的优先顺序不变,对偶原则的意义在于:若两个等式相等,那

么他们的对偶式也相等,因此,对偶规则也适用于逻辑等式,如将逻

辑等式两边同时进行对偶变换,得到的对偶仍然相等。

1/2/4 逻辑函数的表示方法

1、真值表真值表式表示逻辑函数各个输入变量取值组合和函数值对

应关系的表格。真值表的最大特点就是能直观的表示出输出和输入之间的逻辑关系。

2、逻辑表达式与或非等运算表示逻辑函数中各个变量之间的逻辑关系

的表达式,叫做逻辑表达式。

在逻辑表达式的化简和变化中,经常需要将逻辑表达式化为最小项之和的形式,为此,首先需要介绍最小项的概念。

(1)小项及其性质在有N个输入变量的逻辑函数中,若m含有n 变量的乘积项,而且这n个输入变量都以原来的变量或者反变的

形式在m中出现,则称m时这一组变量的最小项。

根据最小项的定义,可以证明它具有如下的重要性质:

在输入变量的任何取值下,有且仅有一个最小项取值为1

去不最小项之和为1

任意两个最小项之积为0

相邻的两个最小项之和可以合并为一项,合并后的结果中只保留

这两项的公共因子。

(2)逻辑表达式最小项之和的形式,任何一个逻辑表达式都可以展开为若干个最小项相加的形式,这种形式叫做最小项之和的形式,

也称为标准与或表达式。

首先,利用逻辑代数的基本公式和带入原则一定能将任何形式的

逻辑表达式化为若干个乘积项相加的形式,即所谓积之和形式。

其次,将每个乘积项的因子补足。

3.逻辑图前面介绍逻辑运算时知道,逻辑变量之间的运算关系除了数学运

算符号表达之外,还可以用逻辑符号表示,用逻辑符号链接起来

表示逻辑函数,得到的链接图称为逻辑图。

4.波形图入变量所有可能的取值与对应的输出按时间顺序一次排列起来

化成的时间波形,称为函数的波形图,也称时序图,波形图的特

点是可以用实验仪器直接显示,便于用实验方法分析实际电路的

逻辑功能,在逻辑分析仪中通常就是用波形的方式给出分析结果

的。

5、卡诺图最小项的考裸图表示法,卡罗图是一种用图形来表示和分析逻

辑电路的方法。卡诺图的实质不过是将逻辑表达式最小项之和

的形式以图形的方式表示出来,若以2n个小方块分别代表n变

量的所有最小项,并将它们排列成矩阵,而且使集合位置相邻

的两个最小项在逻辑上是相邻的,这就得到了n变量全部最小

项的卡诺图。

四变量最小项卡诺图

1、2、5 逻辑函数表示方法之间的转换

1.逻辑表达式与真值表之间的转换

(1)从真值表找出所有使表达式值等于1的输入变量取值

(2)上述的每一组变量取值,都会使一个乘积项的值为 1.在这个乘积项

中,取值为1的变量,取值为0的变量写如反变量。 (3)将这些乘积项相加,就得到可所求的逻辑表达式 2.逻辑表达式与逻辑图之间的转换

如果给出了逻辑表达式,只要以逻辑符号代替逻辑表达式中的代数运算符号,并依照表达式中的运算优先顺序,将这些逻辑符号连接起来,就可以得到所要的逻辑图了,反之,如果给出的逻辑图,则只要从输入端到输入端写出每个逻辑符号所表示的逻辑表达式,就得到对应的逻辑表达式了。

3.逻辑表达式与卡诺图之间的转换

首先讲逻辑表达式或称最小项之和的形式,然后在卡诺图上与这些最小项对应的位置上填入1,同时在其余的位置上填入0,这样就得到了表示该逻辑函数的卡诺图。

3.波形图与真值表之间的转换

只要给出了逻辑函数的真值表,就可以按照上述的方法画出波形图了,输入变量取值的排列顺序对逻辑函数没有影响,相反,如果给出了逻辑函数的波形图,那么只要将每个时间段输入与输出的取值对应列表,就能得到所求的真值表。

1、2、6 逻辑函数的化简

CD

AB 00

01

11

10

00 M0 M1 M3 M2

01 M4 M5 M7 M6

11 M12 M13 M15 M14

10 M8 M9 M11 M10

1.公式化简法

并项法

吸收法

消去法

配项法

2.卡诺图化简法

用卡诺图化简的基本步骤如下:

呼出逻辑函数的卡诺图

将卡诺图中按矩形拍列的相邻的1圈成若干个相邻组,其原则是:

这些相邻的圈必须圈住卡诺图上所有的1

每个圈中至少有一个最小项1只被圈过一次

所画的圈应尽可能的少,以避免出现多余项。

相邻项的圈应尽可能的画大(即尽可能多的1)以减少每一项的因子数

将每一个相邻组圈的最小项1合并为一项,这些项之和就是化简的结果。

3、具有无关项的逻辑函数及其化简

在卡诺图中无关项用来表示,对于卡诺图化简而言,如果圈入无关项可以使圈变大,那么可以把无关项当做1圈入,而对于圈入无关项后对圈的大小没有影响,则可以把无关项做0处理,卡诺图化简中的每个圈至少有一个最小项1只被圈过一次,这个原则一定要保证,如果一个圈中只有一个无关项没有被重复利用,那么这个圈也是多余的。

专题三辑门电路

验证TTL非门电路图:

实验项目:三人表决器

本章学习了三人表决器,首先列出真值表,然后再写出逻辑表达式并化简,用与、非、或门画出电路图,并运行试验。

A B C Y

0 0 0 0 0 0 1 0 0 1 0 0

0 1 1 1

1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 Y=ABC+ABC+ABC+ABC

实验小结

七人表决器实验报告

七人表决器 一.实验目的 1.掌握Quartus II软件安装,熟悉Quartus II操作环境。 2.初步了解VHDL语言。 3.学习使用行为级描述方法设计电路。 二.实验原理 七人表决器 使用7个电平开关作为表决器的7个输入变量,输入为电平“1”时表示表决者“赞同”,输入为电平“0”时表示表决者“不赞同”。当表决器的7个输入变量中有不少于4个输入变量输入“1”,那么表决结果输出逻辑高电平,表示表决“通过”,否则,输出逻辑低电平,表示表决“不通过”。 七人表决器的可选设计方案非常多,可以采用使用全加器的组合逻辑。使用VHDL 进行设计的时候,可以选择行为级描述、寄存器级描述,结等方法。 当采用行为级描述的时候,采用一个变量记载选举通过的总人数。当这个变量的数值大于等于4时,表决通过,绿灯亮;否则表决不通过,黄灯亮。因此,设计时,需要检查每一个输入的电平,并且将逻辑高电平的输入数目进行相加,并且进行判断,从而决定表决是否通过。 二.实验内容 1.安装Quartus II软件,熟悉Quartus II操作环境。 2.使用VHDL实现上述描述。 3.波形仿真。 4.生成元件以及RTL 四.设计提示 1.初次接触VHDL应该注意程序的框架结构,数据类型和运算操作符。 2.了解变量和信号的区别。 3.了解进程内外语句的顺序和并行执行的区别。 4.设计文本的端口可如下:

《VHDL 语言与数字逻辑电路设计》实验指导书 - 2 – 设计文本: LIBRARY IEEE; library ieee; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_unsigned.ALL; ENTITY vote7 IS PORT( men:in std_logic_vector(6 downto 0); LedPass,LedFail:OUT std_logic ); END vote7; ARCHITECTURE behave OF vote7 IS signal pass:std_logic; BEGIN PROCESS(men) variable temp:std_logic_vector(2 downto 0); BEGIN temp:="000"; for i in 0 to 6 loop if(men(i)='1')then temp:=temp+1; else temp:=temp+0; end if; end loop; pass<=temp(2); END PROCESS; LedPass<='1' WHEN pass='1'ELSE '0'; LedFail<='1' WHEN pass='0'ELSE '0'; --库和程序包 --实体 --结构体 --结束

三人表决电路

湘潭大学实验报告 课程名称实用数字电子技术基础 实验名称三人表决电路页数 3 专业网络工程班级2班同组者姓名 组别学号 2015551610 姓名黄伟雄 实验日期2016/5/15 一、实验目的 1.验证三人表决电路的功能。 2.熟悉Quartus Ⅱ的Verilog HDL文本设计流程,掌握组合电路的设计仿 真和硬件测试方法。 二、实验要求 根据实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。 三、实验原理 三人表决电路中,当表决某个提案时,多数人同意,则通过提案;同时有一个人具有一票否决权。若全票否决,也给出显示。 设输入为A、B、C,且A具有否决权,同意用1表示,不同意用0表示。 输出X为1时表示提案通过;Y为1时表示全票否决,则三人表决电路真值表如下: A B C X Y 0 0 0 0 1 0 0 1 0 0 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 1 1 1 0

四、实验内容 1.三人表决电路的输入与仿真 利用Quartus Ⅱ完成三人表决电路的文本编辑输入和仿真测试等步骤,给出仿真波形。 2.在实验系统上进行硬件测试,验证此设计的功能。对于引脚锁定以及 硬件下载测试,ABC[2..0]分别接至键3、键2、键1;CLK接至时钟CLOCK0(256Hz),输出信号X接D1,输出信号Y接蜂鸣器。最后进行编译、下载和硬件测试实验(通过按下键3、键2、键1,控制D1的亮灭)。 五、实验环境与设备 在实验室用电脑和实验箱进行实验。 六、实验代码设计(含符号说明) module JG3 (ABC,X,Y); //Input Port(s) input [2:0] ABC; //Output Prot(s) output X,Y; reg X,Y; //Additional Module Item(s) always@(ABC) case(ABC) 3'B000: begin X<=1'B0 ;Y<=1'B1 ; end 3'B001: begin X<=1'B0 ;Y<=1'B0 ; end 3'B010: begin X<=1'B0 ;Y<=1'B0 ; end 3'B011: begin X<=1'B0 ;Y<=1'B0 ; end

单片机七人表决器

一.方案设计 1.设计题目:七人表决器。 2.实训要求 利用AT89S51单片机设计并制作会议表决计票器电路。具体要求如下: 1、可供57个人进行表决,每个人有一个“同意”和一个“反对”按键,表决时两个键先按下的一个有效,若再按另一个键将清楚前一次按键的效能;每次表决每个按键只能是第一次按的有效,多按的次数无效,除非前一次按键的效能已被清楚或没有生效。 2、会议主持人可利用按键控制表决开始和结束;开始表决后,点亮黄色指示灯,表示可以进行表决,同时清楚原来的表决结果;结束表决后显示表决结果;“同意”多于“反对”点亮绿色指示灯,反之点亮红色指示灯。 3、在实现上述功能的基础上增加“同意”数和“反对”数的显示。 二.硬件电路设计和原理。 1.硬件设计思路: 设计题目为5—7人表决器,题目选为七人表决器,七个按键表示同意,七个按键表示反对,各按键与单片机的输入端口相连,因此可选用单片机的四个I/O口,因为在单片机内部P1和P2都有上啦电阻,而P0没有上啦电阻,要在外部加上一个上拉电阻,为了简化电路,把P1和P2口选为按键同意和反对的输入端,因为表决考试和结束要

利用主持人按键来控制,我采用外部中断0和外部中断一来控制其开始和结束;设计要求中需要四张灯,分别为2个红灯,一个黄灯,一个绿灯,其中三个灯用于输出显示,可用单片机的I/O口,另外一个红灯作为电源灯来显示,判断是否通电,因为P1口和P2口用做按键的同意和反对,把P2剩余的I/O口与三个灯连接,分别连接在P2.3,P2.4,P2.5口,因为P0口是低电平有效所以我的P0口与LED现实器相连用于显示反对与同意的人数的多少,采用动态显示的方式,为了保证两个显示器不再相同时间显示相同的数字,所以LED显示器的公共端受另外一组信号的控制,采用延时的方式让它们分别显示出来。 2.元件参数确定: 设计中需要四盏灯,分别为两个红灯,一个绿灯,一个黄灯,P0口的输出端输出高电平一般为5伏左右,最大电流为五毫安,因此必须加上限流电阻,我选用的是470欧的电阻,然后将单片机的最小系统加入此次的电路中。 3.元件清单: 14个开关用于7人同意与反对按键,另外两个开关用做控制投票开始和结束的总开关。 电容:用于单片机的最小系统。 发光二极管:用于表示投票开始与结束,和最后同意与反对票数的对比情况。 电阻:用于限流。

2021年三人多数表决电路之欧阳学文创编

实验十九三人多数表决电路的设计 欧阳光明(2021.03.07) 一、设计目的 1、掌握用门电路设计组合逻辑电路的方法。 2、掌握用中规模集成组合逻辑芯片设计组合逻辑电路的方法。 3、要求同学们能够根据给定的题目,用几种方法设计电路。 二、设计要求 1、用三种方法设计三人多数表决电路。 2、分析各种方法的优点和缺点。 3、思考四人多数表决电路的设计方法。 要求用三种方法设计一个三人多数表决电路。要求自拟实验步骤,用所给芯片实现电路。 三、参考电路 设按键同意灯亮为输入高电平(逻辑为1),否则,不按键同意为输入低电平(逻辑为0)。输出逻辑为1表示赞成;输出逻辑为0表示表示反对。 根据题意和以上设定,列逻辑状态表如表19-1。 第4、6、7、8 项。故,表决器的辑逻表达式应是:

从化简后的逻辑表达式可知,前一项括号中表达的是一个异或门关系。因此,作逻辑图如下。 经常用来设计组合逻辑电路的MSI芯片主要是:译码器和数据选择器。设计步骤前几步同上,写出的逻辑函数表达式可以不化简,直接用最小项之和的形式,然后根据题目要求选择合适的器件,并 图19—1 三人表决电路 且画出原理图实现。 四、实验设备与器件 本实验的设备和器件如下: 实验设备:数字逻辑实验箱,逻辑笔,万用表及工具; 实验器件:74LS00、74LS20、74LS138、74LS153等。 五、实验报告要求 1、写出具体设计步骤,画出实验线路。 2、根据实验结果分析各种设计方法的优点及使用场合。 实验二十序列脉冲检测器的设计 一、设计目的 1、学习时序逻辑电路的设计与调试方法。 2、了解序列脉冲发生器和序列脉冲检测器的功能区别及设计方法。 二、设计要求及技术指标1、设计一个序列脉冲检测器,当连续输入信号110时,该电路输出为1,否则输出为0。 2、确定合理的总体方案。对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较。自拟设计步骤,写出设计过程,选择合适的芯片,完成画出电路图。 3、组成系统。在一定幅面的图纸上合理布局,通常是按信号的流向,

三人表决器实验

三人表决器实验 我们实验采取3种输入方式:原理图方式,VHDL方式,VerilogHDL.你可以只看一种.下面我分别一一介绍 三人表决器的功能描述 三个人分别用手指拨动开关SW1、SW2、SW3来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方),不同意就把自己的指拨开关拨到低电平(下方)。表决结果用LED(高电平亮)显示,如果决议通过那么实验板上L2(黄灯)亮;如果不通过那么实验板上L1(红灯)亮;如果对某个决议有任意二到三人同意,那么此决议通过,L2亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,L1亮。 采用原理图设计三人表决器 我们根据三人表决器的直值表,可以通过卡诺图化简可以得到: L2=SW1SW2+SW1SW3+SW2SW3 L1=_L2 那么我们可以在MAX+plusII中用原理图实现上面的三人表决器 ~ 下面仅把和VHDL不同的详细写下,相同或基本相同的就一带而过: (1)打开MAX+plusII (2)新建一个图形文件:File菜单>new 新建文件时选择Graphic Editor file

点OK (3)输入设计文件 我们现在在图形文件中输入电路,我们这个电路需要AND2、OR3、NOT三个逻辑门电路和输入输出端,你可以 Symbol ->Enter Symbol(或者双击空白处) 弹出窗口: %

在Symbol Name中输入and2,点OK 同样可以加入or3、input、output、not 对input、output,鼠标左键双击PIN_NAME,那么PIN_NAME被选中,并且变黑,然后输入你要改的名字,如SW1 把元件拖动到合适位置,将光标放到元件的引线出,可以发现光标变为十字星,此时摁住左键就可以进行连线。

七人表决器

学院名称电子技术基础课程设计报告 七人表决器电路设计报告 学生姓名__ _____ 学号 专业 指导教师 系别__ _ 年月日

一、评语(根据学生答辩情况及其报告质量综合评定)。 二、评分 指导教师签字: 年月日

摘要 本次设计的七人表决器,是投票系统中的客户端,是一种代表投票或举手表决的表决装置。表决时,与会的有关人员只要按动各自表决器上“赞成”“反对”“弃权”的某一按钮,相应灯的明亮即显示出表决结果。在七人表决器中七个人分别用手指拨动开关 SW1、SW2、SW3、SW4、SW5、SW6、SW7 来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方)不同意就把自己的指拨开关拨到低电平(下方)。表决结果用 LED(高电平亮)显示,如果决议通过那么发光二极管会发亮;如果不通过那么发光二极管就不亮;如果对某个决议有任意四到七人同意,那么此决议通过,发光二极管就会发亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,发光二极管就不会亮。根据设计与制作的主要内容按照设计题目,以及所学的组合逻辑所学的知识及数字电路和嵌入式的知识完成七人表决器的设计,使之能够满足表决时少数服从多数的表决规则,根据逻辑真值表和逻辑表达式完成表决功能。首先根据七人多数表决电路列出真值表,进行化简,写出逻辑表达式,画出逻辑图。

目录 1 概述 (1) 2 系统总体方案及硬件设计 (2) 2.1电路的总体原理框图 (2) 2.2元件选择 (2) 3 各模块设计 (3) 3.1投票按键部分电路设计 (3) 3.2输入转换部分及控制电路 (3) 3.3票数统计部分及控制电路 (4) 3.4票据分析与结果显示分 (5) 3.5总体电路 (7) 4 软件仿真 (8) 5 课程设计体会 (9) 参考文献(按照标准格式) (10)

三人多数表决电路之欧阳学文创编之欧阳家百创编

实验十九三人多数表决电路的设计 欧阳家百(2021.03.07) 一、设计目的 1、掌握用门电路设计组合逻辑电路的方法。 2、掌握用中规模集成组合逻辑芯片设计组合逻辑电路的方法。 3、要求同学们能够根据给定的题目,用几种方法设计电路。 二、设计要求 1、用三种方法设计三人多数表决电路。 2、分析各种方法的优点和缺点。 3、思考四人多数表决电路的设计方法。 要求用三种方法设计一个三人多数表决电路。要求自拟实验步骤,用所给芯片实现电路。 三、参考电路 设按键同意灯亮为输入高电平(逻辑为1),否则,不按键同意为输入低电平(逻辑为0)。输出逻辑为1表示赞成;输出逻辑为0表示表示反对。 根据题意和以上设定,列逻辑状态表如表19-1。 4、6、7、8 项。故,表决器的辑逻表达式应是:

从化简后的逻辑表达式可知,前一项括号中表达的是一个异或门关系。因此,作逻辑图如下。 经常用来设计组合逻辑电路的MSI芯片主要是:译码器和数据选择器。设计步骤前几步同上,写出的逻辑函数表达式可以不化简,直接用最小项之和的形式,然后根据题目要求选择合适的器件,并 图19—1 三人表决电路 且画出原理图实现。 四、实验设备与器件 本实验的设备和器件如下: 实验设备:数字逻辑实验箱,逻辑笔,万用表及工具; 实验器件:74LS00、74LS20、74LS138、74LS153等。 五、实验报告要求 1、写出具体设计步骤,画出实验线路。 2、根据实验结果分析各种设计方法的优点及使用场合。 实验二十序列脉冲检测器的设计 一、设计目的 1、学习时序逻辑电路的设计与调试方法。 2、了解序列脉冲发生器和序列脉冲检测器的功能区别及设计方法。 二、设计要求及技术指标1、设计一个序列脉冲检测器,当连续输入信号110时,该电路输出为1,否则输出为0。 2、确定合理的总体方案。对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较。自拟设计步骤,写出设计过程,选择合适的芯片,完成画出电路图。 3、组成系统。在一定幅面的图纸上合理布局,通常是按信号的流

三人表决器电路的设计与安装

三人表决器电路的设计与安装

一、实验目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片是什么门集成电路以及芯片的各个引脚都具有哪些功能,我们可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解数字电路的构造原理,这样我们才可以更好地焊好我们想要实现的功能产品以及学好有关数字电路方面的知识。 二、实验要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)会根据自己所仿真的电路原理图画出实物装配图。 三、实验所需元件清单如下表所示: 四、实验产品所需主要芯片介绍 74LS00芯片是常用的具有四组2输入端的与非门集成电路,74LS10芯片是常用的具有三组3输入端的与非门集成电路,他们的作用都是实现一个与非门。其引脚排列分别如下图所示。

74LS00管脚排列图 74LS10管脚排列图 五、三人表决器逻辑电路设计 5.1、设计要求:当A、B、C三人表决某个提案时,两人或两人以上同意,提案通过,否则提案不通过。用与非门实现电路。 设A、B、C三个人为输入变量,同意提案时用输入1表示,不同意时用输入0表示;表决结果Y为输出变量,提案通过用输出1表示,提案不通过用输出0表示。由此可列出真值表,如下表所示。

根据真值表,我们可以写出输出函数的与或表达式,即: 对上式进行化简,得: 将上式变换成与非表达式为: 故,根据输出逻辑表达式,我们可以画出逻辑图为: 5.2、三人表决器电路原理图(仿真图) 我们用发光二极管的状态来表示表决结果通过与否,当发光二级管点亮表示表决结果通过,熄灭表示表决结果不通过。三人A、B、C的表决情况用按钮来实现,按下按钮表示同意,不按表示不同意。 根据上述说明,结合前面的逻辑电路,可得到三人表决器的原理图(仿真图)为: 5.3、三人表决器电路的安装与调试 按安装电路图完成电路的组装后,通上+5v电源,按下输入端A、B、C 的按钮进行不同的组合,观察发光二极管的亮灭,验证电路的逻辑功能。如果 输出结果与输入中的多数一致,则表明电路功能正确,即多数人同意(电路中 用“1”表示),表决结果为同意;多数人不同意(电路中用“0”表示),表决 结果为不同意。 5.4、三人表决器产品正面实物图及反面焊接图 5.5、三人表决器产品实验现象与结果分析 实验现象:当电路板焊接完成后,通上+5v电源,分别同时按下按钮S1、 S2,S2、S3,S1、S3或S1、S2、S3,观察到发光二极管均能点亮,且当分别只按下

七人表决器

EDA技术课程设计设计题目:七人表决器设计 院系:电气信息学院 专业年级:电子信息工程2010级 学生姓名:XXX 学号:XXXXXXX 指导教师:XXXXX 时间:2013年1月13日

用VHDL 设计七人表决器 一、实验目的 1、熟悉 VHDL 的编程。 2、熟悉七人表决器的工作原理。 3、进一步了解实验系统的硬件结构。 二、实验原理 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。 七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4 时,则认为同意;反之,当否决的票数大于或者等于4时,则认为不同意。实验中用7个拨动开关来表示七个人,当对应的拨动开关输入为‘1’时,表示此人同意;否则若拨动开关输入为‘0’,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则 LED 被点亮;否则,如果表决的结果为反对,则 LED 不会被点亮。同时,数码管上显示通过的票数。 三、实验内容 本实验就是利用实验系统中的拨动开关模块和LED模 块以及数码管模块来实现一个简单的七人表决器的功能。 拨动开关模块中的K1~K7表示七个人,当拨动开关输入为 ‘1’时,表示对应的人投同意票,否则当拨动开关输入为

‘0’时,表示对应的人投反对票;LED 模块中 LED1 表示七人表决的结果,当 LED1 点亮时,表示此行为通过表决;否则当 LED1 熄灭时,表示此行为未通过表决。同时通过的票数在数码管上显示出来。 四、硬件系统组成框图 五、VHDL程序实现 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity bjq7 is

七人表决器实验

3.3实验三七人表决器设计 3.3.1实验目的 1、掌握用QuartusII软件设计基本数字系统流程及注意事项。 2、进一步熟练掌握程序的编译、仿真、生成模块及芯片引脚号码锁定方法。 3、掌握分层设计的方法和注意事项 4、在实验报告中,总结数字系统设计步骤及注意事项。 3.3.2实验内容 基于QuartusII软件及VHDL语言实现七人表决器。当参与表决的7人中有4个或4个以上赞同时,表决器输出“1”表示通过,否则输出“0”表示不通过,并显示赞成和反对的人数。用7个开关作为表决器的7个输入变量,数码管显示人数,LED灯显示是否通过。 本实验4学时。 3.3.3实验仪器 ZY11EDA13BE型实验箱。 3.3.4实验原理 分析实验要求,七人表决器系统主要由两个模块构成:投票计数模块和数码管显示模块。 一、建立项目 (1)新建文件夹。路径及文件名中不可出现汉字。 (2)新建项目。一个数字系统可以由多个模块构成,使所有模块连接在一起的总文件叫做顶层文件,只有顶层文件名可以且必须与项目名相同。项目取名为bjq7。 (3)选择芯片

二、建立文件 首先,建立各个VHDL功能模块。 1.投票计数模块。 (1)新建VHDL文件 编辑VHDL程序。投票计数模块输入为七个电平开关input,输出为同意的人数agree,反对的人数disagree,是否通过指示灯y,程序清单如下: library ieee;

use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity BJQ is port(input:in std_logic_vector(6downto0);七个输入开关agree:out std_logic_vector(3downto0);同意的人数 disagree:out std_logic_vector(3downto0);不同意的人数 y:out std_logic);是否通过标志 end; architecture one of BJQ is begin process(input) variable cnt:integer range0to7; variable cnt0:integer range0to7; begin cnt:=0; for i in6downto0loop if input(i)='1'then cnt:=cnt+1; end if; end loop; cnt0:=7-cnt; if cnt>3then y<='0'; else y<='1'; end if; case cnt is when0=>agree<="0000"; when1=>agree<="0001"; when2=>agree<="0010"; when3=>agree<="0011"; when4=>agree<="0100"; when5=>agree<="0101"; when6=>agree<="0110"; when7=>agree<="0111"; when others=>agree<="0000"; end case; case cnt0is when0=>disagree<="0000"; when1=>disagree<="0001"; when2=>disagree<="0010"; when3=>disagree<="0011"; when4=>disagree<="0100"; when5=>disagree<="0101"; when6=>disagree<="0110"; when7=>disagree<="0111";

以4人多数表决电路为例论述MULTISIM

理学院 School of Science 综合电路仿真设计选讲 期 末 论 文 学生姓名: 杨金平 学生学号: 所在专业: 201001129 电子信息科学与技术 所在班级: 102 成绩

以4人多数表决电路为例论述MULTISIM 在电路设计中的应用 一,绪论 简介: Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。 ●通过直观的电路图捕捉环境,轻松设计电路 ●通过交互式SPICE仿真,迅速了解电路行为 ●借助高级电路分析,理解基本设计特征 ●通过一个工具链,无缝地集成电路设计和虚拟测试 ●通过改进、整合设计流程,减少建模错误并缩短上市时间 直观的捕捉和功能强大的仿真 NI Multisim软件结合了直观的捕捉和功能强大的仿真,能够快速、轻松、高效地对电路进行设计和验证。凭借NI Multisim,您可以立即创建具有完整组件库的电路图,并利用工业标准SPICE模拟器模仿电路行为。借助专业的高级SPICE分析和虚拟仪器,您能在设计流程中提早对电路设计进行的迅速验证,从而缩短建模循环。与NI LabⅥEW和SignalExpress软件的集成,完善了具有强大技术的设计流程,从而能够比较具有模拟数据的实现建模测量。 特点: NI Multisim软件是一个专门用于电子电路仿真与设计的EDA工具软件。作为 Windows 下运行的个人桌面电子设计工具,NI Multisim 是一个完整的集成化设计环境。NI Multisim计算机仿真与虚拟仪器技术可以很好地解决理论教学与实际动手实验相脱节的这一问题。学员可以很方便地把刚刚学到的理论知识用计算机仿真真实的再现出来,并且可以用虚拟仪器技术创造出真正属于自己的仪表。NI Multisim软件绝对是电子学教学的首选软件工具。 直观的图形界面:

三人多数表决电路教学提纲

三人多数表决电路

实验十九三人多数表决电路的设计 一、设计目的 1、掌握用门电路设计组合逻辑电路的方法。 2、掌握用中规模集成组合逻辑芯片设计组合逻辑电路的方法。 3、要求同学们能够根据给定的题目,用几种方法设计电路。 二、设计要求 1、用三种方法设计三人多数表决电路。 2、分析各种方法的优点和缺点。 3、思考四人多数表决电路的设计方法。 要求用三种方法设计一个三人多数表决电路。要求自拟实验步骤,用所给芯片实现电路。 三、参考电路 设按键同意灯亮为输入高电平(逻辑为1),否则,不按键同意为输入低电平(逻辑为0)。输出逻辑为1表示赞成;输出逻辑为0表示表示反对。 根据题意和以上设定,列逻辑状态表如表19-1。 A B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 4、6、7、8 项。故,表决器的辑逻表达式应是:

从化简后的逻辑表达式可知,前一项括号中表达的是一个异或门关系。因此,作逻辑图如下。 图19—1 三人表决电路 经常用来设计组合逻辑电路的MSI芯片主要是:译码器和数据选择器。设计步骤前几步同上,写出的逻辑函数表达式可以不化简,直接用最小项之和的形式,然后根据题目要求选择合适的器件,并且画出原理图实现。 四、实验设备与器件 本实验的设备和器件如下: 实验设备:数字逻辑实验箱,逻辑笔,万用表及工具; 实验器件:74LS00、74LS20、74LS138、74LS153等。 五、实验报告要求 1、写出具体设计步骤,画出实验线路。 2、根据实验结果分析各种设计方法的优点及使用场合。

EDA技术实践七人表决器报告完美版_09级

课程设计 课程名称硬件描述语言与EDA技术 题目名称硬件描述语言与EDA技术实践学生学院材料与能源 专业班级 09微电子学(1)班 学号 68 学生姓名钟万友 指导教师陈先朝 2011年 12月 9日

广东工业大学课程设计任务书 题目名称硬件描述语言与EDA技术实践 学生学院材料与能源学院 专业班级09微电子学(1)班 姓名钟万友 学号68 一、课程设计的内容 1.系统功能分析; 2.实现系统功能的方案设计; 3.编写各功能模块VHDL语言程序; 4.对各功能模块进行编译、综合、仿真和验证; 5.顶层文件设计; 6.整个系统进行编译、综合、仿真和验证; 7.在CPLD/FPGA实验开发系统试验箱上进行硬件验证。 二、课程设计的要求与数据 1.按所布置的题目要求,每一位学生独立完成全过程; 2.分模块层次化设计; 3.各功能模块的底层文件必须用VHDL语言设计,顶层文件可用VHDL语言 设计,也可以用原理图设计。 三、课程设计应完成的工作 1.所要求设计内容的全部工作; 2.按设计指导书要求提交一份报告书; 3.提交电子版的设计全部内容:工程目录文件夹中的全部内容,报告书

四、课程设计进程安排 五、应收集的资料及主要参考文献 1.陈先朝,硬件描述语言与EDA技术实践指导书,2011年11月 2.曹昕燕等编著,EDA技术实验与课程设计,清华大学出版社,2006年5 月 3.刘欲晓等编著,EDA技术与VHDL电路开发应用实践,电子工业出版社, 2009年4月 4.刘昌华等编著,数字逻辑EDA设计与实践:MAX+plusⅡ与QuartusⅡ双 剑合璧,国防工业出版社,2009年 5.刘江海主编,EDA技术课程设计,华中科技大学出版社,2009年1月 发出任务书日期: 2011年12月 5日指导教师签名: 计划完成日期: 2011年12月 9日基层教学单位责任人签章: 主管院长签章:

人多数表决电路

实验十九三人多数表决电路的设计 一、设计目的 1、掌握用门电路设计组合逻辑电路的方法。 2、掌握用中规模集成组合逻辑芯片设计组合逻辑电路的方法。 3、要求同学们能够根据给定的题目,用几种方法设计电路。 二、设计要求 1、用三种方法设计三人多数表决电路。 2、分析各种方法的优点和缺点。 3、思考四人多数表决电路的设计方法。 要求用三种方法设计一个三人多数表决电路。要求自拟实验步骤,用所给芯片实现电路。 三、参考电路 设按键同意灯亮为输入高电平(逻辑为1),否则,不按键同意为输入低电平(逻辑为0)。输出逻辑为1表示赞成;输出逻辑为0表示表示反对。 根据题意和以上设定,列逻辑状态表如表19-1。 4、6、7、8 项。故,表决器的辑逻表达式应是: 从化简后的逻辑表达式可知,前一项括号中表达的是一个异或门关系。因此,作逻辑图如下。 图19—1 三人表决电路

经常用来设计组合逻辑电路的MSI芯片主要是:译码器和数据选择器。设计步骤前几步同上,写出的逻辑函数表达式可以不化简,直接用最小项之和的形式,然后根据题目要求选择合适的器件,并且画出原理图实现。 四、实验设备与器件 本实验的设备和器件如下: 实验设备:数字逻辑实验箱,逻辑笔,万用表及工具; 实验器件:74LS00、74LS20、74LS138、74LS153等。 五、实验报告要求 1、写出具体设计步骤,画出实验线路。 2、根据实验结果分析各种设计方法的优点及使用场合。 实验二十序列脉冲检测器的设计 一、设计目的

1、学习时序逻辑电路的设计与调试方法。 2、了解序列脉冲发生器和序列脉冲检测器的功能区别及设计方法。 二、设计要求及技术指标 1、设计一个序列脉冲检测器,当连续输入信号110时,该电路输出为1,否则输出为0。 2、确定合理的总体方案。对各种方案进行比较,以电路的先进性、结构的繁简、成本的高低及制作的难易等方面作综合比较。自拟设计步骤,写出设计过程,选择合适的芯片,完成画出电路图。 3、组成系统。在一定幅面的图纸上合理布局,通常是按信号的流向,采用左进右出的规律摆放各电路,并标出必要的说明。 注意:还需设计一个序列脉冲产生器,作为序列脉冲检测器的输入信号。 4、用示波器观察实验中各点电路波形,并与理论值相比较,分析实验结论。 三、设计说明与提示 图20-1串行输入序列脉冲检测器原理框图。它的功能是:对输入信号X 逐位进行检测,若输入序列中出现“110”,当最后的“0”在输入端出现时,输出Z 为“1”;若随后的输出信号序列仍为“110”,则输出端Z 仍为“1”。其他情况下,输出端Z 为“0”。其输入输出关系如下: 时钟CP 输入X 01101110 输出Z 00010001 调试要点: 1、分块调试,即先调试出序列脉冲产生器的电路,再调试序列脉冲检测器的电路。 2、序列脉冲产生器和序列脉冲检测器应保证同步。 脉冲发生器电路的形式很多,为使电路简单化,可以用十进制计数器的最高位作为输出。 四、实验设备与器件 本实验的设备和器件如下: 实验设备:数字逻辑实验箱、双踪示波器、逻辑笔,万用表及工具; 实验器件:74LS00、74LS112、74LS290、555定时器和电阻电容若干。 四、设计报告要求 1、画出总体原理图及总电路框图。 2、单元电路分析。 3、测试结果及调试过程中所遇到的故障分析。 实验十一 多路智力抢答装置 CP 图20-1 串行输入序列脉冲检测器原理框图

三人表决器设计

西安电子科技大学 《数字电子技术》课程设计 题目三人表决器设计 学生姓名 专业班级通信工程 学号2011 院(系)信息工程学院 指导教师邓国辉 完成时间 2013年11月29日 手机号码

目录 1课程设计的目的 (1) 2课程设计的任务与要求 (1) 3设计方案与论证 (2) 3.2 表决方案的选择 (2) 3.3显示方案的选择 (3) 4设计原理及功能说明 (3) 4.1 三人表决器的原理框图 (3) 4.2 三人表决器基本原理图 (3) 5单元电路的设计 (4) 5.1 触发电路设计 (4) 5.2 表决电路设计 (5) 5.3 计数部分电路设计 (6) 6硬件的制作与调试 (7) 6.1 硬件的制作 (7) 6.2 硬件的调试 (8) 7总结 (8) 参考文献 (10)

附录1:总体电路原理图 (11) 附录2:元器件清单 (12)

1课程设计的目的 1、记住逻辑代数的基本定律和常用公式; 2、会用公式法和卡诺图法化简逻辑函数; 3、会识别、选购常用电路元、器件,掌握常用电路元器件的检测方法; 4、掌握逻辑门电路的逻辑功能与主要参数的测试和使用方法; 5、能合理利用门电路设计表决器; 6、能熟练掌握电路原理,及时调试和排除故障。 2课程设计的任务与要求 1、熟悉各集成逻辑元件的性能和设置元件的参数。 2、对电路图的原理进行分析,并对原理图进行改良,用仿真软件进行仿真调试,弄清楚电路的工作原理。 3、元件安装符合工艺要求,既考虑其性能又应美观整齐。焊接元件要注意焊点的圆润。 4、对元件的性能进行评估和替换、用性能和使用范围更好,更常用的元件进行替换,使自己实际的元件更接近实际使用。 5、学习数字逻辑电路的设计方法。 6、熟知74ls74、74ls08、74HC4075、74ls373各引脚的功能及内部结构。 7、学会使用各集成芯片组成逻辑电路。 8、学会真值表与逻辑表达式及的转换,能根据化简后的逻辑表达式画出逻辑电路。 9、完成“三人表决器”的逻辑设计,及组合电路的设计。

三人表决器实验报告

电子技术与仿真 项目报告 项目名称:三人表决器的制作 专业班级:电气1 2 1 姓名:刘斌 学号: 2 4 指导教师:张琴 江西工业工程职业技术学院电工电子实训中心

一、项目目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片的引脚是什么门电路,可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解电路的构造原理,这样才可以更好学好这门电路的元器件的应用。 二、项目要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)必须得出实验的仿真结果; 7)根据自己所仿真的电路原理图画出实物装配图。 三、项目内容 1、原理图 2、工作原理介绍 电路由74LS08的三个与门电路和74LS32两个或门电路构成,当A、B、C中任意两人按下按钮后,工作电路向74ls08中任意一个与门电路输入端输入两个高电平,输出端Y输入进74ls32任意一个或门电路中;电路只要满足一个条件即输出端有电压输

出;所以发光二极管能点亮。 3、元件清单 4、芯片 ① 74LS08 实物图 引脚图 真值表 元件序号 主要参数 数 量 R1 1K Ω 3 R2 360Ω 1 U1 74LS08 1 U2 74LS32 1 按钮开关 3 发光二极管 1 A B Y 0 0 0 0 1 0 1 0 0 1 1 1

实物图引脚图 真值表 5、电路板安装 A B Y 000 011 101 111

七人表决器的设计

硬 件 课 程 设 计 课题名称:七人多数表决电路的设计

七人多数表决电路的设计 课题: 题目:七人多数表决电路的设计 要求:用VHDL文本输入法设计一个7人多数表决电路 难度:10分 摘要: 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。 七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4人时,则认为同意;反之,当否决的票数大于或者等于4人时,则认为不同意。实验中用7个拨挡开关来表示七个人,当对应的拨挡开关输入为‘1’时,表示此人同意;否则若拨挡开关输入为‘0’时,则表示此人反对。表决的结果用一个LED灯表示,若表决的结果为同意,则LED灯亮;否则,如果表决的结果为反对,则LED不会亮。 关键词: 七人,多数,表决器,全加器,半加器。 设计要求 (1)根据设计要求,绘制出电路状态转换图,实现七人四票制表决。 (2)根据电路状态转换图,用门电路设计出七人表决器。 (3)运用半加器,全加器,实现表决器的设计。 (4)逻辑设计要求:用七个开关作为表决器的七个输入变量,逻辑“1”时表示“赞同”,逻辑“0”时表示“不赞同”,用发光二极管作为输出指令,输出逻辑“1”表示“通过”;输出逻辑“0”时表示“不通过”。当表决器的七个输入变量中的4个以上(包含4个)为“1”时,则表决器输出为“1”;否则为“0”。 设计步骤: 表决结果与多数人意见相同。 设A1,A1,A2,A3,A4,A5,A6,A7为七个人(输入逻辑变量),赞成为1,不赞成为0;

green和red为表决结果(输出逻辑变量),多数赞成green为1,red为0,否则,green为0,red为1,. 其真值表如表(1)所示。 (1)电路状态转换图: 表(1) 从真值表发现,A1 A2 A3 A4 A5 A6 A7中有四个或四个以上表示赞同,则绿灯亮,否则红灯亮。 根据电路状态转换图设计电路,用一个半加器实现设计一个全加器,运用4个全加器实现七人表决器的设计。 (2)半加器电路设计:

实验一七人表决器实验指导书

EDA实验指导书(EL-SOPC4000实验箱) 指导老师:姚晓通 电工电子实验中心

七人表决器 一、实验目的 1.了解表决器的原理。 2.熟悉QuartusII软件建立工程,图形输入法进行设计。 3.熟悉整个开发的流程,初步使用EL-SOPC4000实验箱。 二、实验原理 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。 七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4人时,则认为同意;反之,当否决的票数大于或者等于4人时,则认为不同意。实验中用7个拨挡开关来表示七个人,当对应的拨挡开关输入为‘1’时,表示此人同意;否则若拨挡开关输入为‘0’时,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮。 根据电路状态转换表→设计数值输出→运用门电路设计电路图→仿真运行→实现七人多数表决器的设计。 电路状态转换图:

根据电路状态转换图设计电路,用一个半加器实现设计一个全加器,运用4个全加器实现七人表决器的设计。 (2)半加器电路设计: 图 1 半加器的原理图 (3)全加器电路设计: 图 2 全加器的原理图 (4)七人表决器电路设计: 图3 七人表决器的原理图 三、实验内容 (1)根据设计要求,绘制出电路状态转换图,实现七人四票制表决。 (2)根据电路状态转换图,用门电路设计出七人表决器。 (3)运用半加器,全加器,实现表决器的设计。 (4)逻辑设计要求:用七个开关作为表决器的七个输入变量,逻辑“1”时表 示“赞同”,逻辑“0”时表示“不赞同”,用发光二极管作为输出指令,输出逻辑“1”表示“通过”;输出逻辑“0”时表示“不通过”。当表决器的七个输入变量中的4个以上(包含4个)为“1”时,则表决器输出为 “1”;否则为“0”。通过状态用LED1表示,未通过用LED2表示。

七人表决器实验报告

七人表决器实验报告 篇一:哈工大电工学新技术实践实验报告-7人表决器总成绩: 一、设计任务 1、有七人参与表决,显示赞同者个数。 2当赞同者达到及超过4人时,绿灯显示表示通过。 二、设计条件 本设计基于软件,在电机楼实验室XX5进行验证。 三、设计要求 1、熟悉74LS161,74LS151,数码管的工作原理。 2、设计相应的电路图,标注元件参数,并进行仿真验证。 四、设计内容 1. 电路原理图(含管脚接线)电路原理图如图1所示 图1 电路原理图 2. 计算与仿真分析 仿真结果如图2、3、4所示 图2 仿真结果 图4 仿真结果 4. 调试流程 调试流程如图5所示 图5 调试流程

5. 设计和使用说明 74LS151芯片为互补输出的8选1数据选择器,引脚排列如图6所示,功能见表1。选择控制端(地址端)为C~A,按二进制译码,从8个输入数据D0~D7中,选择一个需要的数据送到输出端Y,G为使能端,低电平有效。 (1)使能端G=1时,不论C~A状态如何,均无输出(Y=0,W=1),多路开关被禁止。 (2)使能端G=0时,多路开关正常工作,根据地址码C、B、A的状态选择D0~D7中某一个通道的数据输送到输出端Y。如:CBA=000,则选择D0数据到输出端,即Y=D0。如:CBA=001,则选择D1数据到输出端,即Y=D1,其余类推。 图6 74LS151引脚排列 表1 74LS151功能表 74LS161功能: (1) 异步置“0”功能:接好电源和地,将清除端接低电平无论其他各输入端的状态如何,测试计数器的输出端,如果操作无误Q3~Q0均为0。 (2)预置数功能:将清除端接高电平,预置控制端接低电平,数据输入端D3~D0置0011,在CP的上升沿作用后,测试输出端Q3~Q0的电平。如果操作准确,D3~D0的数据为

七人多路表决器实验总结

Eda与数字系统课程设计 课题名称:用VHDL文本输入法设计一个7人多数表决电路 班级:09电科四班 学号:2220091497 姓名:楚惠 一.课题 题目:多数表决电路的设计之二 要求:用VHDL文本输入法设计一个7人多数表决电路 二.实验内容 所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。 七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4人时,则认为同意;反之,当否决的票数大于或者等于4人时,则认为不同意。实验中用7个拨挡开关来表示七个人,当对应的拨挡开关输入为‘1’时,表示此人同意;否则若拨挡开关输入为‘0’时,则表示此人反对。表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮。 三.设计步骤 1、表决器主控电路 代码如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity biao is port (

xin: in std_logic_vector ( 6 downto 0 ); xout,xout0,xout1,xout2 : out std_logic_vector ( 6 downto 0 ) ); end entity ; architecture bev of biao is begin process ( xin ) variable j: integer :=0; begin j:=0; for i in 0 to 6 loop if xin(i)='1' then j:=j+1; end if; end loop; if j>3 then xout<="0110000"; else xout<="1111110"; end if; case j is when 0 =>xout1<="1111110"; when 1 =>xout1<="0110000"; when 2 =>xout1<="1101101"; when 3 =>xout1<="1111001"; when 4 =>xout1<="0110011"; when 5 =>xout1<="1011011"; when 6 =>xout1<="1011111"; when 7 =>xout1<="1110000"; when others =>xout1<="XXXXXXX"; end case; case j is when 7 =>xout0<="1111110"; when 6 =>xout0<="0110000"; when 5 =>xout0<="1101101"; when 4 =>xout0<="1111001"; when 3 =>xout0<="1011011"; when 2 =>xout0<="0010010"; when 1 =>xout0<="1011111"; when 0 =>xout0<="1110000";

相关文档
最新文档