电子技术基础实验指导书

电子技术基础实验指导书
电子技术基础实验指导书

实验一常用电子仪器的使用

(一) 低频信号发生器和电子电压表的使用

一、实验目的

为切实掌握电子电路实验技能,顺利进行各类电子实验,首先要求学生熟悉和掌握常用仪器的使用方法。

1.掌握低频信号发生器的使用方法。

2.掌握电子电压表的使用方法。

二、实验电路

低频信号发生器用来提供幅度和频率可调的正弦波电压,电子电压表用来测量微弱的正弦波电压。

实验电路如下,实验时可按实验图1—1(a)连接,仪器接地端要连在一起。信号发生器输出电压较小时,应使用屏蔽线,如实验图1—1(b)所示。

实验图1—1 测试仪器的连接

三、实验器材

四、实验内容与步骤

1.测量低频信号发生器输出电压

(1)按图1—1(a)连接仪器。

(2)用低频信号发生器输出电压。

频率为1kHz,“输出衰减开关”调至“0dB”“输出细调”调至输出电压最大。

(1)用电子电压表测量此时的输出电压值,将测量结果记录在实验表1—1中。

(2)逐挡改变“输出衰减”开关位置,用电子电压表测量信号发生器输出电压值,将结果记录在表内。

2。用低频信号发生器输出所需电压

(1)调节信号发生器,使其输出50mV、560Hz正弦波信号。

(2)调节信号发生器,使其输出200mV、1kHz的正弦波信号。

(3)用电子电压表测量低频信号发生器输出的电压值。记录测量结果于实验表1—2中。

实验表1—1 测量低频信号发生器输出电压

实验表1—2 用信号发生器输出所需电压

(二)示波器的使用

一、实验目的

1.熟悉示波器面板上各旋钮的位置和作用及开机前应处的正确位置,初步掌握示波器的使用方法。

2.初步掌握用示波器观测交、直流电压的方法。

二、实验电路

实验用仪器连接如实验图1—2所示。

实验图1—2 仪器连接

三、实验器材

四、实验内容与步骤

1.观测正弦波电压

(1)低频信号发生器按要求输出正弦波电压。

(2)用示波器试低频信号发生器输出电压的幅度和频率,将被测电压的峰一峰值换算成有效值,与用电子电压表同时测得的数值加以比较,将实验结果记录在实

验表1—3中。

2.用示波器和万用表测试干电池电压,并记录于实验表1—4中。

实验表1—3 正弦波电压测试数据

实验表1—4 直流电压测试数据

实验二晶体管的简单测试

一、实验目的

1.用万用表测试晶体二极管的极性并判断二极管的好坏。

2.用万用表判别晶体三极管的管型和管脚,判断三极管的好坏、电流放大倍数的大小以及I CEO的大小。

二、实验电路

1.用万用表判断三极管的管型等时,可参考实验图2—1,将三极管等效为双PN结。2.用万用表判断二极管的极性可用实验图2—2。

实验图2—1 判别管型时,将三极管等效为两个PN结

实验图2—2 用万用表判断二极管的极性

三、实验器材

四、实验内容与步骤

1.用万用表测试晶体二极管

(1)判别二极管的极性

测二极管时,使用万用表的“R×100”或“R×1K”挡.这时万用表等效电路如实验图2-1所示。其中R0为等效内阻,V0为表内电池电压。

若用黑表笔接二极管的正极,红表笔接二极管的负极,则二极管处于正向偏置,呈现低阻,万用表指示电阻较小;反之,二极管处于反向偏置,呈现高阻,万用表指示电阻较大。据此可判断二极管的极性,测得电阻较小时,黑笔所连接的是二极管的正极,另一为负极。(3)判断二极管的好坏

方法与判别二极管极性相同,若两次没和电阻均小,则二极管内部短路;若两次测得电阻均大或为∞,则二极管内部开路;若两次测得的阻值差别很大,说明二极管特性较好。

2.用万用表测试晶体三极管

(1)用万用表判别三极管的管型和管脚

判别时可将三极管看成是一个背靠背的PN结,如实验图2-2所示。按照判别二极管极性的方法,可以判断出其中一极为分共正极或公共负极,此即为基极。对NPN 型管,它是公共正极;对PNP型管,则是公共负极。据此,可判别三极管的管型。当基极确定后,其余两个极可任意设为集电极和发射极。设被测管为NPN型管,将万用表黑表接假设的集电极,红表笔接假设的发射极,再将假设的集电极、发射极互换,看两次测得电阻的大小。如测得电阻较不时,假设的集电极是正确的,如为PNP管,则按上述方法红表笔接假设的集电极,黑表笔接发射极测得电阻较小时,则假设的集电极是正确的。

判别时,一般要将手指捏住基极和假设的集电极,但不要使这两极相碰。了可用一只100KΩ电阻代替手指,如实验图2-3所示。

(2)判断三极管的好坏

实验图2-4 用万用表判别NPN管Iceo的大小

(1)判断三极管的好坏。

测试时用万用表分别测试三极管集电结与发射结的正反向电阻,若两个PN结正、反向电阻正常,则三极管是好的;只要有一个PN结的正、反向电阻异常,则可判断三极管已损坏。

(2)判断电流放大倍数的大小

以NPN型三极管为例,将两个NPN管分别接入实验图2-4所示的测试电路,万用表显示阻值小的,则电流放大倍数大。

(3)判别I CEO的大小

测试电路实验图2-4所示。用万用表测试C、E间电阻,万用表所示阻值越大,表示三极管的I CEO越小。

实验三整流滤波电路

一、训练目的

1.掌握整流滤波电路的焊接与制作。

2.学会用万用表测量电源电压、用示波器观测整流及滤波输出电压的波形。

3.了解滤波电容参数对滤波效果的影响。

二、训练器材

1.示波器一台

2.指针式万用表一台

3.电烙铁、镊子、剪线钳等常用工具一套

4.整流滤波器件一套,焊锡丝、导线若干

三、训练内容与步骤

(1)按照实验图3—1在电路板(或实验板)搭接电路。搭接完后,应注意检查二极管的极性是是否正确。

实验图3—1

(2)用示波器观察变压器次级电压V2和负载电阻上的电压V1波形,并画出波形图。(3)用万用表的交流挡测量变压器次级电压V2,用直流电压测量整流输出电压V L将数据记入实验表3—1中。

实验表3—1桥式整流电压与波形

2.滤波实验

(1)按实验图3—2接入滤波电容,观察滤波电容C分别为47μF与470μF、负载电阻R L 分别为300Ω与1 KΩ时的输出电压波形,在表3—2中绘制波形图。

(2)用万用表测量以上情况输出电压的有效值,并记录在实验表3—2中。

3.整流电路故障的观察

(1)将整流电路中一支二极管开路。观察故障现象,测量输出电压V L。

(2)将滤波电容开路,观察输出电压的波形并测量输出电压V L。

实验图3—2

实验表3—2滤波参数变化时的输出电压

四、思考题

1.桥式整流电路中,加滤波电容后输出波形有何变化?对平均输出电压值有何影响?2.整流电路中的滤波电容和负载电阻的参数对滤波效果有何影响?

3.在桥式整流电路中,若有一只二极管反接,电路可能会出现什么问题?

实验四放大电路的测试与调整

一、实验目的

1、验证静态工作和电路参数对放大器工作的影响。

2、学会测量电压放大倍数,测绘频率特性曲线。

二、实验电路

实验电路如实验图4-1所示。

三、实验器材

(1)低频信号发生器;(2)示波器;(3)毫伏表;(4)稳压电源;(5)实验图4-1所示实验电路板。

四、实验内容及步骤

1、将稳压电源输出调至12V送入实验电路板,调节R P使V CE=5V~7V,为三极管建

立静态工作点(实验电路暂不接负载RL)

2、将信号发生器接入放大器输入端,向放大器输入1KH Z、5mV的正弦优信号。同

时将已预热的示波器接至放大电路输出端,观察输出电压V0的波形。

3、将信号发生器输入放大器的电压Vi调大,使V0的不失真波形幅度最大,用毫

伏表测出Vi和V0值并记入表实验4-1中,算出电压放大倍数A V0

4、将放大器加上负载RL,按上述办法测出Vi和V0,一并记入实验表4-1,算出

电压放大倍数A V0

5、放大器继续接负载,按实验表4-1的要求改变信号发生器输出信号频率,并用

毫伏表测出Vi与V0的对应值记入该表中,再算出各自的电压放大倍数AV,在

坐标纸上作出该放大器的频率特性曲线(AV-f曲线),确定f L与f H,并算出其

通频带。

实验五单管低频放大器的调试

一、训练目的

1.通过实验进一步熟悉单管低频放大器的基本原理。

2.学会使用电子仪器(低频信号发生器、万用表、毫伏表、示波器)测量和调整电路。

二、训练器材

1.示波器

2.低频信号发生器

3.直流稳压电源

4.毫伏表

5.万用表

6.电烙铁、镊子、剪线钳等常用工具一套

7.单管低频放大电路套(见实验图5—1)

三、训练内容与步骤

1.单管低频放大器的制作

实验图2—1所示为单管低频放大器的原理电路,按图焊接好电路。焊接时应注意三极管的管脚和电解电容的极性不能焊错。

2.静态工作点的调整

(1)工作点的静态调整,在三极管集电极与电阻R C之间串入电流表(可用万用表直流电流挡),接入12V电源,调电位器R P使I C=1Ma,用电压表测量V BE、V CE。

(2)工作点的动态调整,在负载R L未接入时,,用示波器观察输出电压V O的波形。在放大器输入端利用低频信号发生器输入1kHz低频信号,从V I=10mV(有效值)开始逐渐增加输入信号幅度,从示波器上观察放大器输出信号波形直到开始出现失真为止。再一次仔细的微调电位器R P,使输出不失真波形的幅度最大,测量静态工作点

I C、V CE。将波形图和测量数据记录在实验表5—1中。

实验图5—1

实验表5—1 静态工作点对输出波形的影响

3.观察静态工作点对输出波形的影响

(1)在负载R L接入时,在放大器输入端利用低频信号发生器输入20mV/1kHz低频号,同时用示波器观察输出电压V O的波形。

(2)观察截止失真波形将电位器R P调大,使输出波形顶部出现约1/3的切割失真,画出波形图,测量静态工作点I C、V CE及R P阻值,记录在实验表5—1中。

(3)观察饱和失真波形将电位器RP调小,使输出波形底部出现约1/3的切割失真,画出波形图,测量静态工作点I C、V CE及R P阻值,记录在实验表5—1中。

4.放大倍数的测量

(1)不接入负载电阻,放大器输入10Mv/1kHz的低频信号,用毫伏表测量输入电压V1和输出电压V2数值,计算放大器的电压放大倍数A V,将测量结果记入实验表2—2。

(2)接入负载电阻RL=3kΩ,放大器输入10Mv/1kHz的低频信号,用毫伏有测量输入电压V I和输出电压V O数值,计算放大器的电压放大倍数A V,将测量结果记入实验表5—2,并与应用公式计算的结果相比较。

实验表5—2 放大倍数的测量

5.故障现象观察和分析

(1)上偏置电阻R b1开路,在放大器输入端加300mV和800mV的正弦波信号,用示波器观察输出波形,并分析R b1开路对电路的影响。

(2)旁路电容C e开路,放大器输入10mV/1kHz的低频信号,比较电容C e拉入与开路这两情况下的输出电压V O与电压放大倍数A V,并将数据记录在实验表5—3中。

实验表5—3 旁路电容对放大倍数的影响

四、思考题

1.增大输入信号幅度时会使输出波形出现失真,请分析原因。说明如何消除这种失真。2.输出波形的顶部被切割,属何种失真?应如何消除这种失真?

3.为什么接入负载后放大倍数会减小?

4.断开射极电阻的旁路电容C e,对放大器的放大倍数有何影响?试分析原因。

实验六场效晶体管放大器的调试

一、训练目的

1.学会高速场效晶体管放大电路的静态工作点。

2.学会测量场效晶体管放大电路的放大倍数。

二、训练器材

1.示波器

2.低频信号发生器

3.直流稳压电源

4.毫伏表

5.万用表

6.电烙铁、镊子、剪钱钳等常用工具一套

7.场效晶体管放大电路元件一套(见实验图6—1)

实验图6—1

三、训练内容与步骤

1.场效晶体管放大电路的装接

按实验图6—1焊接好电路,焊接时应注意场效晶体管的栅极不能焊错。

2.观察静态工作点对输出波形的影响

在放大器输入端利用低频信号发生器输入30Mv/kHz的低频信号,将电位器R P由零逐渐调大,用示波器观察输出电压V O波形的变化。

3.静态工作点的调整

仔细微调电位器R P,直至示波器显示的输出电压波形幅度最大且不失真,用万用表测量静态工作点V DS及最佳工作点时电位器R P的阻值。将波形图和测量数据记录在实验表6—1中。

实验表6—1 静态工作点对输出波形的影响

4.放大倍数的测量

(1)未接入负载电阻时,放大器输入10Mv/1kHz的低频信号,用毫伏表测量输入电压V I和输出电压V O数值,计算放大器的电压放大倍数A V,将测量与计算结果记入实验表6—2。

(2)接入负载电阻RL=10kΩ,放大器输入10mV/1kHz的低频信号,用毫伏表测量输入电压V I和输出电压V O数值,计算放大器的电压放大倍数A V,将测量结果记入实验表6—2,并与应用公式计算的结果相比较。

实验表6—2 放大倍数的测量

四、思考题

1.自偏置场效晶体管放大电路如何高速静态工作点?

2.输出电压波形的底部若出现失真,应如何高速电路才能消除失真?

3.为什么接入负载RL后,场效晶体管放大器的电压放大倍数会减小?

实验七负反馈放大器性能的测试

一、训练目的

1.通过实践来加深理解负反馈对放大器性能的影响。

2.进一步熟悉放大器性能指标的的测量方法。

二、训练器材

1.直流稳压电源一台

2.低频信号发生器一台

3.毫伏表一台

4.示波器一台

5.万用表一台

6.电烙铁、镊子、剪线钳等常用工具

7负反馈放大电路器件一套(见实验图7—1)

实验图7—1

三、训练内容与步骤

1.按实验图7—1焊接负反馈放大器实验电路,经检查无误后接通电源。

2.调整直流静态工作点

(1)调整R P1使V1管的I C1为1.5mA。

(2)调整R P2使V2管的I C2为2mA。

3.观察负反馈对放大器放大器放大倍数的影响

(1)将输入信号频率调节器至1kHz,输入信号电压VI=5mV,输出端接负载电阻3kΩ用示波器观察输出信号V O波形,若有失真可微调节器R P1和R P2。

(2)断开反馈电阻R9,用毫伏表测出V O,将结果填入实验表7—1。

(3)然后拉入反馈电阻R9(加负反馈),测出V,O,将结果填入实验表7—1。

(4)根据实验表7—1说明负反馈对放大器放大倍数的影响。

实验表7—1

4.观察负反馈对放大器非线性失真的影响

(1)断开反馈电阻R9,逐渐调大放大器输入信号V I,由示波器观察输出信号V O波形,直到V O将要失真时,将此时V I和V O值记在实验表7—2中;

(2)接入反馈电阻R9,逐渐增大输入信号V,I,直到示波器显示输出电压达到V O值时。

在实验表7—2中记下此时输入信号V,I值。

(3)V,I不变,再断开反馈电阻R9,观察输出信号波形变化情况,将上述结果记入实验表7—2。

(4)根据实验表7—2说明负反馈对放大器非线性失真的影响。

实验表7—2

5.观察负反馈对放大器放大倍数稳定性的影响

(1)改变电源电压,让直流稳压电源输出从12V变到9V,分别测量放大器在输入电压VI=5mV,反馈电阻R9断开和接入时的输出电压,并按公式

计算两种状态下放大器放大倍数的相对变化值,填入实验表7—3中(A VL

是电源为9V时的放大倍数,A VH是电源为12V时的放大倍数)。

(2)根据实验表7—3说明负反馈对放大器放大倍数稳定性的影响。

实验表7—3

四、思考题

1.在实验电路中,R9构成什么类型的反馈?如何高速该电路的反馈深度?

2.实验中用改变电源电压的方法来观察放大器放大倍数的稳定性。如果电源电压不变,还可通过什么办法观察放大倍数的稳定性?

实验八集成功率放大器的安装与测量一、训练目的

1.学会组装集成功率放大器典型应用电路。

2.会用万用表测量集成电路的引脚电压和用示波器观测波形。

二、训练器材

1.低频信号发生器

2.示波器

3.万用表

4.直流稳压电源 5.毫伏表

6.电烙铁、镊子、剪线钳等常用工具

7.集成功放电路器件一套(见实验图8—1)

实验图8—1 三、训练内容与步骤

1.按实验图8—1将电路焊接安装好。

2.检查接线无误后接通电源,在无信号输入时用示波器观察输出端有无振荡波形,看有无自激现象。若有,可适当加大消振电容C 3的容量。

3.用万用表直流电压挡测量集成电路各引脚的直流电压,并记入实验表8—1中。 实验表8—1

3. 测算最大不失真功率P OM

(1) 将示波器接OTL 电路的输出端,低频信号发生器接OTL 电路的输入端,将频率调

为1kHz,并逐渐调大输入信号V I 的幅度,直至输出信号为最大的不失真波形.

(2) 用毫伏表接在输出端,测出该状态下的信号电压V O 。 (3) 应用P OM =

L

R Vo 2

计算出最大不失真功率。 5.测算功放电路效率η

(1)在功放电路输出最大不失真信号的状态下,用万用表测量电源电流I CC ,并作记录。 (2)计算电源供给功率P DC =I CC V CC 。

(3)用η=

Pdc

Pom

计算电路效率。 6.观察自举电路的作用步骤如下:

(1)调节输入信号源泉,使OTL 电路输出信号为最大的不失真波形。

(2)将自举电容C 6断开,观察输出波形,给出波形图,并与正常的波形作比较。 四、思考题

1.试分析实验电路中各个元件的作用。

2.如集成功率放大电路产生自激现象,应采取什么措施来克服?

3.集成功率放大电路的。输出端1脚电压与电源电压V CC 的关系如何?

实验九 集成运算放大器应用

一、训练目的

1. 熟悉集成运放的引脚排列形式和引脚功能。 2. 学会集成运放的使用和调试方法。

3. 为集成运放大模拟运算等方面的应用打下实践基础。 二、训练器材

1.双路稳夺电源(输出+15V 、—15V ) 2.示波器

3.万用表(MF —50)

4.1.5~2.0V 可调直流电源(或用稳压管与电位器组成)二组 5.电烙铁、镊子、剪线钳等常用工具 6.集成运放实验电路器件一套 三、训练内容与步骤

1.安装与高速集成运放电路

(1)集成运放LM741的外形如实验图9—1所示,引脚功能见实验表9—1。按实验图9—2搭接好LM741集成运放工作电路。

实验图9—1 LM741外形图

实验表9—1 集成运放LM741的引脚功能

(2)检查电路无误后,在LM741的4脚接—15V电源,7脚接+15V电源。

(3)将LM741的2、3两个输入引脚用导线对地短路,用示波器观测LM741的输出端6脚的电压,通过电位器RP调零(即调整RP使输出电压V O=0V)。

(4)将LM741的2、3两个输入引脚的对地短路线去除。

2.反相比例运算器的检测

(1)将实验图9—2所示运算放大电路必接成反相比例运算器,即按实验图6—3加接R1、R2、R f。

实验图9—2

电子技术基础实验指导书

《电子技术基础》实验指导书 电子技术课组编 信息与通信工程学院

实验一常用电子仪器的使用 一、实验类型-操作型 二、实验目的 1、学习电子电路实验中常用的电子仪器——示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等的主要技术指标、性能及正确使用方法。 2、初步掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。 三、实验原理 在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对模拟电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1-1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。

图1-1 模拟电子电路中常用电子仪器布局图 1、示波器 示波器是一种用途很广的电子测量仪器,它既能直接显示电信号的波形,又能对电信号进行各种参数的测量。现着重指出下列几点: 1)、寻找扫描光迹 将示波器Y轴显示方式置“Y1”或“Y2”,输入耦合方式置“GND”,开机预热后,若在显示屏上不出现光点和扫描基线,可按下列操作去找到扫描线:①适当调节亮度旋钮。②触发方式开关置“自动”。③适当调节垂直()、水平()“位移”旋钮,使扫描光迹位于屏幕中央。(若示波器设有“寻迹”按键,可按下“寻迹”按键,判断光迹偏移基线的方向。) 2)、双踪示波器一般有五种显示方式,即“Y1”、“Y2”、“Y1+Y2”三种单踪显示方式和“交替”“断续”二种双踪显示方式。“交替”显示一般适宜于输入信号频率较高时使用。“断续”显示一般适宜于输入信号频率较低时使用。 3)、为了显示稳定的被测信号波形,“触发源选择”开关一般选为“内”触发,使扫描触发信号取自示波器内部的Y通道。 4)、触发方式开关通常先置于“自动”调出波形后,若被显示的波形不稳定,可置触发方式开关于“常态”,通过调节“触发电平”旋钮找到合适的触发电压,使被测试的波形稳定地显示在示波器屏幕上。 有时,由于选择了较慢的扫描速率,显示屏上将会出现闪烁的光迹,但被

模拟电子技术基础实验思考题

低频电子线路实验思考题 实验一常用电子仪器的使用(P6) 1.什么是电压有效值?什么是电压峰值?常用交流电压表的电压测量值和示波器的电压直接测量值有什么不同? 答:电压峰值是该波形中点到最高或最低之间的电压值;电压有效值等于它的瞬时值的平方在一个周期内职分的平均值再取平方根。 常用交流电压表的电压测量值一般都为有效值,而示波器的电压直接测量都为峰值。 2.用示波器测量交流信号的峰值和频率,如何尽可能提高测量精度?答:幅值的测量:Y轴灵敏度微调旋钮置于校准位置,Y轴灵敏度开关置于合适的位置即整个波形在显示屏的Y轴上尽可能大地显示,但不能超出显示屏指示线外。频率测量:扫描微调旋钮置于校准位置,扫描开关处于合适位置即使整个波形在X轴上所占的格数尽可能接近10格(但不能大于10格)。 实验二晶体管主要参数及特性曲线的测试(P11) 1.为什么不能用MF500HA型万用表的R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值? 答:根据MF500HA型万用表的内部工作原理,可知R×1Ω和R×10Ω档量程测量工作极限电流小的二极管的正向电阻值的等效电路分别为图1和图2所示,此时流过二极管的最大电流,,当I D1和I D2大于该二极管的工作极限电流时就会使二极管损坏。

图1 图2 2. 用MF500HA型万用表的不同量程测量同一只二极管的正向电阻值,其结果不同,为什么? 提示:根据二极管的输入特性曲线和指针式万用表Ω档的等效电路,结合测试原理分析回答。 答:R×1Ω:r o=9.4Ω; R×10Ω: r o=100Ω; R×100Ω: r o=1073Ω; R×1kΩ: r o=32kΩ。因为二极管工作特性为正向导通、反向截至,尤其是正向导通的输入特性曲线为一条非线性曲线。用MF500HA型万用表

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

测试技术实验指导书及实验报告2006级用汇总

矿压测试技术实验指导书 学号: 班级: 姓名: 安徽理工大学 能源与安全学院采矿工程实验室

实验一常用矿山压力仪器原理及使用方法 第一部分观测岩层移动的部分仪器 ☆深基点钻孔多点位移计 一、结构简介 深基点钻孔多点位移计是监测巷道在掘进和受采动影响的整个服务期间,围岩内部变形随时间变化情况的一种仪器。 深基点钻孔多点位移包括孔内固定装置、孔中连接钢丝绳、孔口测读装置组成。每套位移计内有5~6个测点。其结构及其安装如图1所示。 二、安装方法 1.在巷道两帮及顶板各钻出φ32的钻孔。 2.将带有连接钢丝绳的孔内固定装置,由远及近分别用安装圆管将其推至所要求的深度。(每个钻孔布置5~6个测点,分别为;6m、5m、4m、3m、2m、lm或12m、10m、8m、6m、4m、2m)。 3.将孔口测读装置,用水泥药圈或木条固定在孔口。 4。拉紧每个测点的钢丝绳,将孔口测读装置上的测尺推至l00mm左右的位置后,由螺丝将钢丝绳与测尺固定在一起。 三、测试方法 安装后先读出每个测点的初读数,以后每次读得的数值与初读数之差,即为测点的位移值。当读数将到零刻度时,松开螺丝,使测尺再回到l00mm左右的位置,重新读出初读数。 ☆顶板离层指示仪 一、结构简介: 顶板离层指示仪是监测顶板锚杆范围内及锚固范围外离层值大小的一种监测仪器,在顶板钻孔中布置两个测点,一个在围岩深部稳定处,一个在锚杆端部围岩中。离层值就是围岩中两测点之间以及锚杆端部围岩与巷道顶板表面间的相对位移值。顶板离层指示仪由孔内固定装置、测量钢丝绳及孔口显示装置组成如图1所示。

二、安装方法: 1.在巷道顶板钻出φ32的钻孔,孔深由要求而定。 2.将带有长钢丝绳的孔内固定装置用安装杆推到所要求的位置;抽出安装杆后再将带有短钢丝绳的孔内固定装置推到所要求的位置。 3.将孔口显示装置用木条固定在孔口(在显示装置与钻孔间要留有钢丝绳运动的间隙)。 4.将钢丝绳拉紧后,用螺丝将其分别与孔口显示装置中的圆管相连接,且使其显示读数超过零刻度线。 三、测读方法: 孔口测读装置上所显示的颜色,反映出顶板离层的范围及所处状态,显示数值表示顶板的离层量。☆DY—82型顶板动态仪 一、用途 DY-82型顶板动态仪是一种机械式高灵敏位移计。用于监测顶底板移近量、移近速度,进行采场“初次来压”和“周期来压”的预报,探测超前支撑压力高 峰位置,监测顶板活动及其它相对位移的测量。 二、技术特征 (1)灵敏度(mm) 0.01 (2)精度(%) 粗读±1,微读±2.5 (3)量程(mm) 0~200 (4)使用高度(mm) 1000~3000 三、原理、结构 其结构和安装见图。仪器的核心部件是齿条6、指针8 以及与指针相连的齿轮、微读数刻线盘9、齿条下端带有读 数横刻线的游标和粗读数刻度管11。 当动态仪安装在顶底板之间时,依靠压力弹簧7产生的 弹力而站立。安好后记下读数(初读数)并由手表读出时间。 粗读数由游标10的横刻线在刻度管11上的位置读出,每小 格2毫米,每大格(标有“1”、“22'’等)为10毫米,微读数 由指针8在刻线盘9的位置读出,每小格为0.01毫米(共200 小格,对应2毫米)。粗读数加微读数即为此时刻的读数。当 顶底板移近时,通过压杆3压缩压力弹簧7,推动齿条6下 移,带动齿轮,齿轮带动指针8顺时针方向旋转,顶底板每 移近0.01毫米,指针转过1小格;同时齿条下端游标随齿条 下移,读数增大。后次读数减去前次读数,即为这段时间内的顶底板移近量。除以经过的时间,即得

电子技术实验指导书

实验一常用电子仪器的使用方法 一、实验目的 了解示波器、音频信号发生器、交流数字毫伏表、直流稳压电源、数字万用电表的使用方法。二实验学时 2 学时 三、实验仪器及实验设备 1、GOS-620 系列示波器 2、YDS996A函数信号发生器 3、数字交流毫伏表 4、直流稳压电源 5、数字万用电表 四、实验仪器简介 1、示波器 阴极射线示波器(简称示波器)是利用阴极射线示波管将电信号转换成肉眼能直接观察的随时间变化的图像的电子仪器。示波器通常由垂直系统、水平系统和示波管电路等部分组成。垂直系统将被测信号放大后送到示波管的垂直偏转板,使光点在垂直方向上随被测信号的幅度变化而移动;水平系统用作产生时基信号的锯齿波,经水平放大器放大后送至示波管水平偏转板,使光点沿水平方向匀速移动。这样就能在示波管上显示被测信号的波形。 2、YDS996A函数信号发生器通常也叫信号发生器。它通常是指频率从0.6Hz至1MHz的正弦波、方波、三角波、脉冲波、锯齿波,具有直流电平调节、占空比调节,其频率可以数字直接显示。适用于音频、机械、化工、电工、电子、医学、土木建筑等各个领域的科研单位、工厂、学校、实验室等。 3、交流数字毫伏表 该表适用于测量正弦波电压的有效值。它的电路结构一般包括放大器、衰减器(分压器)、检波器、指示器(表头)及电源等几个部分。该表的优点是输入阻抗高、量程广、频率范围宽、过载能力强等。该表可用来对无线电接收机、放大器和其它电子设备的电路进行测量。 4、直流稳压电源: 它是一种通用电源设备。它为各种电子设备提供所需要的稳定的直流电压或电流当电网电压、负载、环境等在一定范围内变化时,稳压电源输出的电压或电流维持相对稳定。这样可以使电子设备或电路的性能稳定不变。直流电源通常由变压、整流、滤波、调整控制四部分组成。有些电源还具有过压、过流等保护电路,以防止工作失常时损坏器件。 6、计频器 GFC-8010H是一台高输入灵敏度20mVrms,测量范围0.1Hz至120MHz的综合计频器,具备简洁、高性能、高分辨率和高稳定性的特点。 5、仪器与实验电路的相互关系及主要用途:

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

混凝土结构实验指导书及实验报告(学生用)

土木工程学院 《混凝土结构设计基本原理》实验指导书 及实验报告 适用专业:土木工程周淼 编 班级::学 号: 理工大学 2018 年9 月

实验一钢筋混凝土梁受弯性能试验 一、实验目的 1.了解适筋梁的受力过程和破坏特征; 2.验证钢筋混凝土受弯构件正截面强度理论和计算公式; 3.掌握钢筋混凝土受弯构件的实验方法及荷载、应变、挠度、裂缝宽度等数据的测试技术 和有关仪器的使用方法; 4.培养学生对钢筋混凝土基本构件的初步实验分析能力。 二、基本原理当梁中纵向受力钢筋的配筋率适中时,梁正截面受弯破坏过程表现为典型的三个阶段:第一阶段——弹性阶段(I阶段):当荷载较小时,混凝土梁如同两种弹性材料组成的组合梁,梁截面的应力呈线性分布,卸载后几乎无残余变形。当梁受拉区混凝土的最大拉应力达到混凝土的抗拉强度,且最大的混凝土拉应变超过混凝土的极限受拉应变时,在纯弯段某一薄弱截面出现首条垂直裂缝。梁开裂标志着第一阶段的结束。此时,梁纯弯段截面承担的弯矩M cr称为开裂弯矩。第二阶段——带裂缝工作阶段(II阶段):梁开裂后,裂缝处混凝土退出工作,钢筋应力急增,且通过粘结力向未开裂的混凝土传递拉应力,使得梁中继续出现拉裂缝。压区混凝土中压应力也由线性分布转化为非线性分布。当受拉钢筋屈服时标志着第二阶段的结束。此时梁纯弯段截面承担的弯矩M y称为屈服弯矩。第三阶段——破坏阶段(III阶段):钢筋屈服后,在很小的荷载增量下,梁会产生很大的变形。裂缝的高度和宽度进一步发展,中和轴不断上移,压区混凝土应力分布曲线渐趋丰满。当受压区混凝土的最大压应变达到混凝土的极限压应变时,压区混凝土压碎,梁正截面受弯破坏。此时,梁承担的弯矩M u 称为极限弯矩。适筋梁的破坏始于纵筋屈服,终于混凝土压碎。整个过程要经历相当大的变形,破坏前有明显的预兆。这种破坏称为适筋破坏,属于延性破坏。 三、试验装置

安全人机工程学实验指导书

安全人机工程学实验指导书 安全人机工程学 验指导湖南工学院20XX年3月 实验六深度知觉测定实验八记忆广度测量实验 实验九动作速度测定实验 实验七手指灵活性、手腕动觉方位能力测定实验六深度知觉测定实验目的 深度知觉测试是测试人的视觉在深度上的视锐程度,通 过测试可以了解双眼对距离或深度的视觉误差,也可以比较双眼和单眼在辨别深度中的差异。 实验仪器简介 采用EP503A深度知觉测试仪。主要技术指标: 1比较刺激移动速度分快慢二档: 快档50mm/s慢档25mm/s 2比较刺激移动方向可逆。±200mm 3比较刺激移动范围:400mm 4比较刺激与标准刺激的横向距离为55mm 5工作电压

220V 50HE 工作原理: 1 EP503A深度知觉测试仪结构如图2所示: 图 2 EP503A深度知 觉测试仪结构移动比较刺激,使之与标准刺激三点成一直线,在 实验 过程中,可测出被试者视觉在深度上的差异性。 2遥控键如图3所示: 图3 EP503A深度知觉测试遥控器面板示意 3面板布置如图4所示: 图4 EP503A深度知觉测试面板示意三实 验步骤 1、被试在仪器前,视线与观察窗保持水平,固定头部, 能看到仪器内两根立柱中部。2、以仪器内其中根立柱为 标准刺激,距离被试2米,位置固定。另一根可移动的立柱为变异刺激,被试可以操纵电键前后移动。 3、正式实验时,先主试将变异刺激调至任意位置,然 后要求被试仔细观察仪器内两根立柱,自调整,直至被试认为两根立柱在同一水平线上,离眼睛的距离相等为止。被试 调整后,主试记录两根立柱的实际误差值,填入下表中 4、正式实验时,先进行双眼观察20次,其中:有10吃是变异刺激在前,近到远调整; 有10次是变异刺激在后,远到近调整。顺序和距离随 机安排。

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

土工实验指导书及实验报告

土工实验指导书及实验报告编写毕守一 安徽水利水电职业技术学院 二OO九年五月

目录 实验一试样制备 实验二含水率试验 实验三密度试验 实验四液限和塑限试验 实验五颗粒分析试验 实验六固结试验 实验七直接剪切试验 实验八击实试验 土工试验复习题

实验一试样制备 一、概述 试样的制备是获得正确的试验成果的前提,为保证试验成果的可靠性以及试验数据的可比性,应具备一个统一的试样制备方法和程序。 试样的制备可分为原状土的试样制备和扰动土的试样制备。对于原状土的试样制备主要包括土样的开启、描述、切取等程序;而扰动土的制备程序则主要包括风干、碾散、过筛、分样和贮存等预备程序以及击实等制备程序,这些程序步骤的正确与否,都会直接影响到试验成果的可靠性,因此,试样的制备是土工试验工作的首要质量要素。 二、仪器设备 试样制备所需的主要仪器设备,包括: (1)孔径0.5mm、2mm和5mm的细筛; (2)孔径0.075mm的洗筛; (3)称量10kg、最小分度值5g的台秤; (4)称量5000g、最小分度值1g和称量200g、最小分度值0.01g的天平;

(5)不锈钢环刀(内径61.8mm、高20mm;内径79.8mm、高20mm或内径61.8mm、高40mm); (6)击样器:包括活塞、导筒和环刀; (7)其他:切土刀、钢丝锯、碎土工具、烘箱、保湿器、喷水设备、凡士林等。 三、试样制备 (一)原状土试样的制备步骤 1、将土样筒按标明的上下方向放置,剥去蜡封和胶带,开启土样筒取土样。 2、检查土样结构,若土样已扰动,则不应作为制备力学性质试验的试样。 3、根据试验要求确定环刀尺寸,并在环刀内壁涂一薄层凡士林,然后刃口向下放在土样上,将环刀垂直下压,同时用切土刀沿环刀外侧切削土样,边压边削直至土样高出环刀,制样时不得扰动土样。 4、采用钢丝锯或切土刀平整环刀两端土样,然后擦净环刀外壁,称环刀和土的总质量。 5、切削试样时,应对土样的层次、气味、颜色、夹杂物、裂缝和均匀性进行描述。 6、从切削的余土中取代表性试样,供测定含水率以及颗粒分析、界限含水率等试验之用。

人机工程学实验

实验一:双手调节器 1.实验目的 2.实验介绍和实验思路:双手调节器是一种典型的动作技能操作仪器。它是通过双手的操 作合作完成设定的曲线轨迹的运动,即是右手完成目标的上下移动,左手完成目标的左右移动。以被试完成任务所用的时间及偏离轨迹的次数,作为衡量其多次练习后的进步水平。 3.实验过程:分两项实验 第一种:自变量:同一个人的被实验次数即练习遍数。(每人四次,左右单程各两次)因变量:走完单程过程中个出错次数和时间 双手协调能力测试实验中的被试者完成实验的时间及错误次数数据统计分析如下:

根据实验结果绘制的练习曲线如下,用练习遍数作横坐标,用完成任务所用时间及出错次数为纵坐标,做出示意图为: 4.实验结论:完成任务所用的时间及每遍练习中的错误次数随着练习遍数的增加总体趋势 偶尔也会错误次数和时间略有增加。 实验二:瞬时记忆 1.实验目的:证实瞬时记忆的现象及其性质。 2.实验(方案一)思路:恒定变量设为1,自变量为设定秒数,因变量为报对码数目。 方案一数据:

根据图表可知,在设定时间不断减少的情况下,学生答对的图码数目不断减少。 (方案二)实验思路:恒定变量为时间(0.4秒),自变量为图码行数不同,因变量为记忆图码正确数量。 方案二数据:

根据图表可知,当被测试者接收一行图码信息时,思路清晰,记忆较快,当被测试者接收两行图码信息时,记忆速度不如一行图码快。 3.实验总结:1. 在设定时间不断减少的情况下,学生答对的图码数目不断减少。 2. 瞬间记忆在0.4秒情况下,记忆的合理码数在 3.2—3.5之间。 实验三:记忆广度 1.实验目的:学习测定光简单反应时的程序,比较光简单反应时的个体差异,通过测定闪光融合领率.学习使用阶梯法测定感觉阈限 2. 实验介绍和实验思路: 影响短时记忆广度的因素很多,组块的大小,熟悉性,复杂性等都会影响短时记忆的容量设自变量为计位数,因变量为正确个数,测试正确率: 3.根据数据分析结果: 随着计位数的不断增加,实验者按对的个数不断减少,正确率越来越低, 这说明人的记忆广度有限,所以在适当的记忆时间内,应设计相应的可记忆的内容,严防记忆过载。从另一方面讲了解短时记忆的特点,选择正确的方法加以训练,有助于个人记忆的

电子技术基础实验答案

实验一、常用电子仪器的使用 一、实验目的 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 二、实验原理 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领: 1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围(选定一个频率分挡开关按下)、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 操作要领: 1)为了防止过载损坏仪表,在开机前和测量前(即在输入端开路情况下)应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。 操作要领: 1)时基线位置的调节开机数秒钟后,适当调节垂直(↑↓)和水平(←→)位移旋钮,将时基线移至适当的位置。 2)清晰度的调节适当调节亮度和聚焦旋钮,使时基线越细越好(亮度不能太亮,一般能看清楚即可)。 3)示波器的显示方式示波器主要有单踪和双踪两种显示方式,属单踪显示的有“Y1”、“Y2”、“Y1+Y2”,作单踪显示时,可选择“Y1”或“Y2”其中一个按钮按下。属双踪显示的有“交 替”和“断续”,作双踪显示时,为了在一次扫描过程中同时显示两个波形,采用“交替”显示 方式,当被观察信号频率很低时(几十赫兹以下),可采用“断续”显示方式。 4)波形的稳定为了显示稳定的波形,应注意示波器面板上控制按钮的位置:a)“扫描

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

CAD上机实验指导书及实验报告

北京邮电大学世纪学院 实验、实习、课程设计报告撰写格式与要求 (试行) 一、实验报告格式要求 1、有实验教学手册,按手册要求填写,若无则采用统一实验报告封面。 2、报告一律用钢笔书写或打印,打印要求用A4纸;页边距要求如下:页边距上下各为2.5厘米,左右边距各为2.5厘米;行间距取固定值(设置值为20磅);字符间距为默认值(缩放100%,间距:标准)。 3、统一采用国家标准所规定的单位与符号,要求文字书写工整,不得潦草;作图规范,不得随手勾画。 4、实验报告中的实验原始记录,须经实验指导教师签字或登记。 二、实习报告、课程设计报告格式要求 1、采用统一的封面。 2、根据教学大纲的要求手写或打印,手写一律用钢笔书写,统一采用国家标准所规定的单位与符号,要求文字书写工整,不得潦草;作图规范,不得随手勾画。打印要求用A4纸;页边距要求如下:页边距上下各为2.5厘米,左右边距各为2.5厘米;行间距取固定值(设置值为20磅);字符间距为默认值(缩放100%,间距:标准)。 三、报告内容要求 1、实验报告内容包括:实验目的、实验原理、实验仪器设备、实验操作过程、原始数据、实验结果分析、实验心得等方面内容。 2、实习报告内容包括:实习题目、实习任务与要求、实习具体实施情况(附上图表、原始数据等)、实习个人总结等内容。 3、课程设计报告或说明书内容包括:课程设计任务与要求、总体方案、方案设计与分析、所需仪器设备与元器件、设计实现与调试、收获体会、参考资料等方面内容。 北京邮电大学世纪学院 教务处 2009-8

实验报告 课程名称计算机绘图(CAD) 实验项目AutoCAD二维绘图实验 专业班级 姓名学号 指导教师实验成绩 2016年11月日

棒框仪实验报告

棒框仪实验报告 Document number:NOCG-YUNOO-BUYTT-UU986-1986UT

人机工程学 报告书 姓名:董思洋 班级:工业设计10-3班学号: 二零一二年

棒框仪实验指导书 陈亚明编 艺术与设计学院 二0一二年二月

棒框仪实验 一、实验目的 本仪器可测量一个倾斜的框对判断一根棒的垂直性影响的程度。被试的判断受倾斜的框的影响,相当于周围环境条件变化的影响,所以此 本仪器可以通过被试的认知方式来测量人格特性。 二、实验方法 两人一组,正确使用棒框仪进行测量: 1、一个放在平台上的观察筒被试观察面为圆白背景面板上有一个黑色正方形框和黑色棒。棒的倾斜度可由被试通过旋钮调节。 2、主试面有一个半圆形的刻度,圆弧内指针指示框的倾斜度,中央指针指示棒的倾斜度。主试调节面板上旋钮改变框与棒的倾斜度。 3、在平台上有一个水平仪,可通过旋转平台下面的螺丝将平台调整到水平的位置。此棒框仪的优点在于没有电源的条件下可以使用。 三、测量器具 人体形体测量尺350×165×215mm的棒框仪 四、实验内容 (1)将平台调到水平位置。 (2)根据实验的要求,主试将框和棒调到在一定的倾斜度。 (3)要求被试通过观察筒进行观察,并根据自己感觉将棒调整得与地面垂直。(4)从刻度上读出的棒的倾斜度,即记录下误差的度数和方向。 (5)主试调节不同的方框的倾斜度,即不同的场条件下,重复实验。由被试调整出的棒倾斜度总结出框对棒的影响,从而研究被试的场依存性。 五、实验要求 1.每位同学都要参与测量、被测量过程; 2.记录数据以度为单位 3.测量数据要准确,测量精确;

15电力电子实验指导书

《电力电子技术》 实 验 指 导 书

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 二、实验所需挂件及附件 三、实验线路及原理 锯齿波同步移相触发电路的原理图参见挂件说明。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见挂件说明和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读电力电子技术教材中有关锯齿波同步移相触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为

220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽 度,并比较“3”点电压U 3和“6”点电压U 6 的对应关系。 (2)调节触发脉冲的移相范围 将控制电压U ct 调至零(将电位器RP2顺时针旋到底),用示波器观察同步电压 信号和“6”点U 6的波形,调节偏移电压U b (即调RP3电位器),使α=170°,其波 形如图2-1所示。 图2-1锯齿波同步移相触发电路 (3)调节U ct (即电位器RP2)使α=60°,观察并记录U 1 ~U 6 及输出“G、K” 脉冲电压的波形,标出其幅值与宽度,并记录在下表中(可在示波器上直接读出,读数时应将示波器的“V/DIV”和“t/DIV”微调旋钮旋到校准位置)。 (4)

《流体力学》课程实验(上机)指导书及实验报告格式

《流体力学》课程实验指导书袁守利编 汽车工程学院 2005年9月

前言 1.实验总体目标、任务与要求 1)学生在学习了《流体力学》基本理论的基础上,通过伯努利方程实验、动量方程实 验,实现对基本理论的验证。 2)通过实验,使学生对水柱(水银柱)、U型压差计、毕托管、孔板流量计、文丘里流量计等流体力学常用的测压、测流量装置的结构、原理和使用有基本认识。 2.适用专业 热能与动力工程 3.先修课程 《流体力学》相关章节。 4.实验项目与学时分配 5. 实验改革与特色 根据实验内容和现有实验条件,在实验过程中,采取学生自己动手和教师演示相结合的方法,力求达到较好的实验效果。

实验一伯努利方程实验 1.观察流体流经实验管段时的能量转化关系,了解特定截面上的总水头、测压管水头、压强水头、速度水头和位置水头间的关系,从而加深对伯努利方程的理解和认识。 2.掌握各种水头的测试方法和压强的测试方法。 3.掌握流量、流速的测量方法,了解毕托管测速的原理。 二、实验条件 伯努利方程实验仪 三、实验原理 1.实验装置: 图一伯努利方程实验台 1.水箱及潜水泵 2.上水管 3.电源 4.溢流管 5.整流栅 6.溢流板 7.定压水箱 8.实验 细管9. 实验粗管10.测压管11.调节阀12.接水箱13.量杯14回水管15.实验桌 2.工作原理 定压水箱7靠溢流来维持其恒定的水位,在水箱下部装接水平放置的实验细管8,水经实验细管以恒定流流出,并通过调节阀11调节其出水流量。通过布置在实验管四个截面上的四组测压孔及测压管,可以测量到相应截面上的各种水头的大小,从而可以分析管路中恒定流动的各种能量形式、大小及相互转化关系。各个测量截面上的一组测压管都相当于一组毕托管,所以也可以用来测管中某点的流速。 电测流量装置由回水箱、计量水箱和电测流量装置(由浮子、光栅计量尺和光电子

《电子技术实验1》实验指导书

实验一仪器使用 一、实验目的 1.明确函数信号发生器、直流稳压稳流电源和交流电压表的用途。 2.明确上述仪器面板上各旋钮的作用,学会正确的使用方法。 3.学习用示波器观察交流信号波形和测量电压、周期的方法。 二、实验仪器 8112C函数信号发生器一台 DF1731SC2A可调式直流稳压稳流电源一台 DF2170B交流电压表一台 双踪示波器一台 三、实验内容 1.调节8112C函数信号发生器输出1KHZ、100mV的正弦波信号,将操

2.将信号发生器输出的信号接入交流电压表测量,配合调节函数信号发生器的“MAPLITUDE POWER”旋钮,使其输出为100mV。 3.将上述信号接入双踪示波器测量其信号电压的峰峰值和周期值,并将操作方法填入下表。

四、实验总结 1、整理实验记录、分析实验结果及存在问题等。 五、预习要求 1.对照附录的示意图和说明,熟悉仪器各旋钮的作用。 2.写出下列预习思考题答案: (1)当用示波器进行定量测量时,时基扫描微调旋钮和垂直微调旋钮应处在什么位置?

(2)某一正弦波,其峰峰值在示波器屏幕上占垂直刻度为5格,一个周期占水平刻度为2格,垂直灵敏度选择旋钮置0.2V/div档,时基扫速选择旋钮置0.1mS/div档,探头衰减用×1,问被测信号的有效值和频率为多少?如何用器其他仪器进行验证?

附录一:8112C函数信号发生器 1.用途 (1)输出基本信号为正弦波、方波、三角波、脉冲波、锯齿波。输出幅值从5mv~20v,频率范围从0.1HZ~2MHZ。 (2)作为频率计数器使用,测频范围从10HZ~50MHZ,最大允许输入为30Vrms。 2.面板说明

电子技术基础实验答案

电子技术基础实验答案 导语:在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。以下为大家介绍电子技术基础实验答案文章,欢迎大家阅读参考! 实验一、常用电子仪器的使用 1、学习电子技术实验中常用电子仪器的主要技术指标、性能和正确使用方法。 2、初步掌握用示波器观察正弦信号波形和读取波形参数的方法。 电路实验箱的结构、基本功能和使用方法。 在模拟电子电路实验中,要对各种电子仪器进行综合使用,可按照信号流向,以接线简捷,调节顺手,观察与读数方便等原则进行合理布局。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。 1.信号发生器 信号发生器可以根据需要输出正弦波、方波、三角波三种信号波形。输出信号电压频率可以通过频率分挡开关、频率粗调和细调旋钮进行调节。输出信号电压幅度可由输出幅度调节旋钮进行连续调节。 操作要领:

1)按下电源开关。 2)根据需要选定一个波形输出开关按下。 3)根据所需频率,选择频率范围、分别调节频率粗调和细调旋钮,在频率显示屏上显示所需频率即可。 4)调节幅度调节旋钮,用交流毫伏表测出所需信号电压值。 注意:信号发生器的输出端不允许短路。 2.交流毫伏表 交流毫伏表只能在其工作频率范围内,用来测量300伏以下正弦交流电压的有效值。 1)为了防止过载损坏仪表,在开机前和测量前应先将量程开关置于较大量程处,待输入端接入电路开始测量时,再逐档减小量程到适当位置。 2)读数:当量程开关旋到左边首位数为“1”的任一挡位时,应读取0~10标度尺上的示数。当量程开关旋到左边首位数为“3”的任一挡位时,应读取0~3标度尺上的示数。 3)仪表使用完后,先将量程开关置于较大量程位置后,才能拆线或关机。 3.双踪示波器 示波器是用来观察和测量信号的波形及参数的设备。双踪示波器可以同时对两个输入信号进行观测和比较。

电磁场实验指导书及实验报告

CENTRAL SOUTH UNIVERSITY 题目利用Matlab模拟点电荷电场的分布姓名xxxx 学号xxxxxxxxxx 班级电气xxxx班 任课老师xxxx 实验日期2010-10

电磁场理论 实验一 ——利用Matlab 模拟点电荷电场的分布 一.实验目的: 1.熟悉单个点电荷及一对点电荷的电场分布情况; 2.学会使用Matlab 进行数值计算,并绘出相应的图形; 二.实验原理: 根据库伦定律:在真空中,两个静止点电荷之间的作用力与这两个电荷的电量乘积成正比,与它们之间距离的平方成反比,作用力的方向在两个电荷的连线上,两电荷同号为斥力,异号为吸力,它们之间的力F 满足: R R Q Q k F ? 212 = (式1) 由电场强度E 的定义可知: R R kQ E ? 2 = (式2) 对于点电荷,根据场论基础中的定义,有势场E 的势函数为 R kQ U = (式3) 而 U E -?= (式4) 在Matlab 中,由以上公式算出各点的电势U ,电场强度E 后,可以用Matlab 自带的库函数绘出相应电荷的电场分布情况。 三.实验内容: 1. 单个点电荷 点电荷的平面电力线和等势线 真空中点电荷的场强大小是E=kq /r^2 ,其中k 为静电力恒量, q 为电量, r 为点电荷到场点P(x,y)的距离。电场呈球对称分布, 取电量q> 0, 电力线是以电荷为起点的射线簇。以无穷远处为零势点, 点电荷的电势为U=kq /r,当U 取

常数时, 此式就是等势面方程.等势面是以电荷为中心以r 为半径的球面。 平面电力线的画法 在平面上, 电力线是等角分布的射线簇, 用MATLAB 画射线簇很简单。取射线的半径为( 都取国际制单位) r0=, 不同的角度用向量表示( 单位为弧度) th=linspace(0,2*pi,13)。射线簇的终点的直角坐标为: [x,y]=pol2cart(th,r0)。插入x 的起始坐标x=[x; *x].同样插入y 的起始坐标, y=[y; *y], x 和y 都是二维数组, 每一列是一条射线的起始和终止坐标。用二维画线命令plot(x,y)就画出所有电力线。 平面等势线的画法 在过电荷的截面上, 等势线就是以电荷为中心的圆簇, 用MATLAB 画等势 线更加简单。静电力常量为k=9e9, 电量可取为q=1e- 9; 最大的等势线的半径应该比射线的半径小一点 r0=。其电势为u0=k8q /r0。如果从外到里取7 条等势线, 最里面的等势线的电势是最外面的3 倍, 那么各条线的电势用向量表示为: u=linspace(1,3,7)*u0。从- r0 到r0 取偶数个点, 例如100 个点, 使最中心点的坐标绕过0, 各点的坐标可用向量表示: x=linspace(- r0,r0,100), 在直角坐标系中可形成网格坐标: [X,Y]=meshgrid(x)。各点到原点的距离为: r=sqrt(X.^2+Y.^2), 在乘方时, 乘方号前面要加点, 表示对变量中的元素进行乘方计算。各点的电势为U=k8q. /r, 在进行除法运算时, 除号前面也要加点, 同样表示对变量中的元素进行除法运算。用等高线命令即可画出等势线 contour(X,Y,U,u), 在画等势线后一般会把电力线擦除, 在画等势线之前插入如下命令hold on 就行了。平面电力线和等势线如图1, 其中插入了标题等等。越靠近点电荷的中心, 电势越高, 电场强度越大, 电力线和等势线也越密。

相关文档
最新文档