第20章习题1-门电路和组合逻辑电路

第20章习题1-门电路和组合逻辑电路
第20章习题1-门电路和组合逻辑电路

第20章习题 门电路和组合逻辑电路

S10101B

为实现图逻辑表达式的功能,请将TTL 电路多余输入端C 进行处理(只需一种处理方法),Y 1的C 端应接 ,Y 2的C 端应接 ,

解:接地、悬空

S10203G

在F = AB +CD 的真值表中,F =1的状态有( )。 A. 2个 B. 4个 C. 3个 D. 7个 解:D

S10203N

某与非门有A 、B 、C 三个输入变量,当B =1时,其输出为( )。 A. 0 B. 1 C. AC D. AC 解:C

S10204B

在数字电路中,晶体管的工作状态为( )。 A. 饱和 B. 放大 C. 饱和或放大 D. 饱和或截止 解:D

S10204I

逻辑电路如图所示,其逻辑函数式为( )。

A. B A B A +

B. AB B A +

C. B A B A +

D. A AB + 解:C

S10204N

已知F =AB +CD ,选出下列可以肯定使F = 0的情况( )。 A. A = 0,BC = 1 B. B = C = 1 C. C = 1,D = 0 D. AB = 0,CD = 0 解:D

S10110B

三态门电路的三种可能的输出状态是 , , 。 解:逻辑1、逻辑0、高阻态

逻辑图和输入A ,B 的波形如图所示,分析当输出F 为“1”的时刻应是( )。

A. t 1

B. t 2

C. t 3

解:A

S10211I

图示逻辑电路的逻辑式为( )。 A.

F =A B AB +

B. B A AB F =

C. F =()

解:B

S10212I

逻辑电路如图所示,其功能相当于一个( )。

A. 门

B. 与非门

C. 异或门 解:C

S10216B

图示逻辑电路的逻辑式为( )。

A.

F =A B +A B B. F =AB A B + C. F =AB +A B

解:C

S10217B

逻辑图如图(a )所示,输入A 、B 的波形如图(b ),试分析在t 1瞬间输出F 为( )。

A. “1”

B. “0”

C. 不定 解:B

S10218B

图示逻辑符号的逻辑状态表为( )。 A. B.

C.

解:B

逻辑图和输入A 的波形如图所示,输出F 的波形为( )。 解:(b )

S10220B

图示逻辑符号的状态表为( )。

解:C

S10221B

逻辑图和输入A ,B 的波形如图所示,分析当输出F 为“1”的时刻,应是( )。

A. t1

B. t2

C. t3

解:A

S10225B

逻辑门电路的逻辑符号如图所示,能实现F =AB 逻辑功能的是( )。 解:(a )

S10214I

逻辑图和输入A ,B 的波形如图所示,分析当输出F 为""0的时刻应是( )。

A. t1

B. t2

C. t3

解:C

S10217I

图示逻辑电路的逻辑式为( )。

A. C AB F +=

B. C B A F )(+=

C. C AB F += 解:A

逻辑图和输入A ,B 的波形如图所示,分析当输出F 为“0”的时刻应是( )。 A. t 1 B. t 2

C. t 3 解:C

S10222I

逻辑图和输入A ,B 的波形如图所示,分析当输出F 为“0”的时刻应是( )。 A. t 1

B. t 2

C. t 3 解:B

S10226B

三态输出“与非”门电路的输出比正常的“与非”门电路多一个状态是( )。 A. 高电平 B. 低电平 C. 高阻 解:C

S10229B

逻辑图和输入A ,B 的波形如图所示,分析当输出F 为“1”的时刻应是( )。

A. t 1

B.

t 2 C.

t 3 解:C

S10209B

逻辑符号如图所示,其中表示“与非”门的是( )。

解:(d )

S10210B

“异或”门的逻辑式为( )。 A. F =AB +AB

B. F =A B +AB

C. F =AB AB + 解:C

S10223I

图示逻辑电路的逻辑式为( )。

A. )(C B A F ?=

B. )(C B A F +=

C. BC A F += 解:A

已知各逻辑门输入A 、B 和输出F 的波形如下图所示,要求写出F 的逻辑表达式,并画出逻辑电路。

S10503B

写出如图所示电路的输出函数Y 的表达式,并分析逻辑功能。 解:逻辑函数Y 的表达式

ABC Y =1

C

B A AB

C C B A ABC C B A ABC ABC C ABC B ABC A CY BY AY Y +=+++=++=++=++=)()(1

11

Y = 1,否则Y = 0。 即该电路具有“判一致”的逻辑功能。

S10504B 证明图(a )、(b )两电路具有相同的逻辑功能。 解:

图(a )逻辑函数Y 的表达式 B A B A Y +=

图(b )逻辑函数Y 的表达式

B

A B A B

B B A B A A A B A B A Y +=+++=++=))((

可见,两电路具有“异或”的逻辑功能。

为提高报警信号的可靠性,在有关部位安置了3个同类型的危险报警器,只有当3个危险报警器中至少有两个指示危险时,才实现关机操作。试画出具有该功能的逻辑电路。

解:在危急情况下,报警信号A 、B 、C 为高电平1,且当输出状态F 为高电平1时,设备应关机。

其真值表如下:

由真值表可写出“与或”表达式:

ABC BC A C B A C AB L +++= 化简为:AC BC AB L ++=

逻辑图,如下图所示。 或者:用与非门

AC BC AB L ++=

=AC BC AB ++=AC BC AB ??其逻辑电路略。

S10504N

某设备有开关A 、B 、C ,要求:只有开关A 接通的条件下,开关B 才能接通;开关C 只有在开关B 接通的条件下才能接通。违反这一规程,则发出报警信号。设计一个由“与非门”组成的能实现这一功能的报警控制电路。

解:

由题意可知,该报警电路的输入变量是三个开关A 、B 、C 的状态,设开关接通用1表示,开关断开用0表示;设该电路的输出报警信号为F ,F 为1表示报警,F 为0表示不报警。可列出真值表:

根据真值表做出卡诺图如下图(a )所示。利用卡诺图对逻辑函数进行化简,得到最简逻辑表达式: C B B A C B B A F ?=+=

根据逻辑表达式画出逻辑图,就得到题目所要求的控制电路如图(b )所示。

S10405G

可否将“与非门”、“或非门”、“异或门”当做“反相器”使用?如果可以,其输入端应如何处理并画出电路图。

解:

如右图所示。

组合逻辑电路的设计步骤为: (1) ;(2) ;(3)简化和变换逻辑表达式,从而画出逻辑图。

解:

⑴由电路的功能要求,列出真值表;(2)由真值表写出逻辑表达式;

S11102B

分析组合逻辑电路的步骤为:

(1) ; (2) ; (3) ;

(4)根据真值表和逻辑表达对逻辑电路进行分析,最后确定其功能。 解:

由逻辑图写出个输出端逻辑表达式、化简和变换各逻辑表达式、列出真值表

S11102I

如图所示逻辑图,逻辑表达式1F = ;F = 。

解:

01101==??=A F ;B B F F =+=1

S11201I

如图所示逻辑电路其逻辑表达式为( )。

A. B A Y +=

B. ))((B A B A Y +?=

C. ()B A Y ?=

D. ()()B A B A Y +??= 解:D

S11202B

组合逻辑电路任何时刻的输出信号与该时刻的输入信号( ),与电路原来所处的状态( )。 A. 无关,无关 B. 无关,有关 C. 有关,无关 D. 有关,有关 解:C

S11202I

半加器的本位和输出端的逻辑关系是( )。 A. 与非 B. 或非 C. 与或非 D. 异或 解:D

已知CD ABC F +=选出下列可以肯定使0=F 的情况是( )。 A. 1,0==BC A B. 1,1==C B

C. 0,1==D C

D. 1,1==D BC

E. 0,1==CD AB 解:D

S11203N

图示为一简单的编码器,其中E 、F 、G 是一般信号,A 、B 是输出量,为二进制代码变量。今令 AB = 10 ,则输入的信号为1的是( )。

A. E

B. F

C. G 解:B

S11301B

编码器,译码器,数据选择器都属于组合逻辑电路。( ) 解:√

S11301N

全加器的输出不仅取决于输入,同时还取决于相邻低位的进位,因此说全加器属于时序逻辑电路。( )

解:×

S11302B

用二进制代码表示某一信息称为编码。反之把二进制代码所表示的信息翻译出来称为译码。( ) 解:√

S11302G 在下列电路中,试问哪些电路能实现B A Y ⊕=的逻辑关系? 解:

A.√、

B.×、

C.×

S11102G

一个三变量排队电路,在同一时刻只有一个变量输出,若同时有两个或两个以上变量为1时,则按A 、B 、C 的优先顺序通过,若F A =1表示A 通过,F B 、F C 为1表示B 、C 通过,F A 、F B 、F C 为0时表示其不通过,则表示变量A 、B 、C 通过的表达式:

F A = ,F B = ,F C = 。

解:A 、B A 、C B A

半加器逻辑符号如图所示,当A =“0”,B =“0”时,C 和S 分别为( )。

A. 0=C 、1=S

B. 1=C 、0=S

C. 0=C 、0=S 解:C

S11218B

半加器的逻辑图如下,指出它的逻辑式为( )。

A. B A S ⊕=、AB C =

B. B A B A S +=、B A C =

C. B A S ⊕=、AB C = 解:A

S11219B

全加器逻辑符号如图所示,当A i =“1”,B i =“1”,C i-1=“1”时,C i 和S i 分别为( )。

A. C i = 1 、S i = 0

B. C i = 0 、S i = 1

C. C i = 1 、S i = 1 解:C

S11207B

全加器逻辑符号如图所示,当A i =“1”,B i =“1”,C i-1=“0”时,C i 和S i 分别为( )。

A. C

i = 0、S i =0

B. C i =1、S i =1

C. C i =1、S i =0 解:C

S11206B

半加器逻辑符号如图所示,当A =“1”,B =“1”时,C 和S 分别为( )。

A. C = 0、S = 0

B. C = 0、S = 1

C. C = 1、S = 0

解:C

S11403B

设计一个半加器电路(要求:列出真值表,写出逻辑式,画出逻辑电路)。 解:

由半加器概念即只考虑两个一位二进制数A 和B 相加,不考虑低位来的进位数称半加:

列出半加器真值表(a ),其中,S 为本位和数,C 为向高位送出进位数由真值表可直接得出逻辑式:

B A B A S +=、B A

C ?= 由逻辑式可画出逻辑电路(b )。

图示逻辑电路的逻辑式为( )。 A. =F A B C ++

B. =F A B C ++

C. C B A F = 解:C

S11213I

逻辑电路如图所示,其逻辑功能相当于一个( )。

A. “与”非门

B. “异或”门

C. “与或非”门 解:C

S11404I

写出图中所示电路的最简“与或”表达式。

解:

F = AB A C AB B AB A AB A C AB B AB A +??=???

= BC A B A B A BC A C B A +=++

S11501B

写出如图所示电路的逻辑表达式,并将其化简再用最简单的组合电路实现之。

解:

B A B A B A B A B A B A AB B AB A AB Y ⊕=+=++=+=?+?=)(()(

最简电路如下图所示。

组合逻辑电路设计:

某产品有A 、B 、C 、D 四项质量指标,A 为主要指标。检验合格品时,每件产品如果有包含主要指标A 在内的三项或三项以上质量指标合格则为正品,否则即为次品。试设计一个全部用“与非门”组成的结构最简的正品检验机。

解:

(1)对于A 、B 、C 、D 中任何指标,合格时用1表示,不合格时用0表示,检验结果正品用1表示,次品用0表示,列真值表如(a )。

(2)化简

作卡诺图(b ),得表达式: ABC ACD ABD ABC

ACD ABD Y ??=++= (3)逻辑电路如图(c )。

S11502G

用“与非门”设计一组合逻辑电路,输入为四位二进制数,当数9≥N 时,输出1=L ,其余情况0=L 。 解:

AD

AC AB AD AC AB L D C B A L m ??=++==∑)

,15,14,13,12,11,10,9(),,,(

S11405I

有一个能将两个一位二进制数A 、B 进行比较的数字比较器,其逻辑状态列于下表中。试写出各输出

B A Y =1, B A Y =2,

B A AB Y +=3

逻辑图如右图所示。

分析如图所示的逻辑电路,做出真值表,说明其逻辑功能。

解:该电路为“判奇电路”——输入为奇数个“1”,输出为“1”。(真值表如下所示)

S11503I

试设计一个三变量的“判奇电路”。

解:真值表如下所示:

S11503I

如果对键盘上108个符号进行二进制编码,则至少要()位二进制数码。

(a) 5(b) 6 (c) 7

1、用逻辑代数的基本公式和常用公式化简下列逻辑函数:

C

A BC C A A

B F ABCD D

C B A F B A C AB C B A ABC C B A F A

B A B A F ++++=++++=++++=++=4321

解:

1

)1(1

)()()1(4321=+++=++++=++++==+=++++=+=++++=++++=+=++=++=C B C A C BC C A B A C A BC C A AB F ABCD ABCD ABCD D C B A F B A B A B B AC B B C A B A C AB C B A ABC C B A F B

A B A B A A B A B A F

2、证明下列异或运算公式。

B A B A A B A AB A A A A A A A A ⊕=⊕=⊕=⊕=⊕=⊕=⊕ ; ;1 ;0 ;1 ;0

解:

B A B A AB B A A B A AB B A AB B A AB B A AB A A A A A A A A A A A A A A A A A A A A A A ⊕=+=⊕=+=?+?=⊕=+=?+?=⊕=?+?=⊕=?+?=⊕=?+?=⊕ ;1

0 ;111 ;000

3、用卡诺图化简下列函数。

∑∑∑===

)

14,12,11,10,9,8,6,4,3,2,1,0(),,,(.3)

14,12,10,8,7,6,3,2(),,,(.2)

7,5,4,2,1,0(),,(.1D C B A F D C B A F C B A F

解:分别将题中给定的逻辑函数卡诺图画出如图所示,并化简写出最简与或表达式。

A BC

00 01 11 1001 1 1 0 1 1 1 1 0

F=B+AC+AC

1.

AB CD

00 01 11 1000011110 0 0 1 1 0 0 1 1 1 0 0 1 1 0 0 1

2.

F=AC+AD

AB CD

00 01 11 1000011110 1 1 1 1 1 0 0 1 1 0 0 13.

1 1 1 1

4、 编 码 器 的 逻 辑 功 能 是( ) 。

(a) 把 某 种 二 进 制 代 码 转 换 成 某 种 输 出 状 态 (b) 将某 种 状 态 转 换 成 相 应 的二 进 制 代 码 (c) 把 二 进 制 数 转 换 成 十进 制 数

5、译 码 器 的 逻 辑 功 能 是 ( )。

(a) 把 某 种 二 进 制 代 码 转 换 成 某 种 输 出 状 态 (b) 把 某 种 状 态 转 换 成 相 应 的二 进 制 代 码 (c) 把 十 进 制 数 转 换 成 二进 制 数

十二、[共8分]

两个输入端的与门、 或门和与非门的输入波形如图所示, 试画出其输出信号的波形。

解: 设与门的输出为F 1,或门的输出为F 2,与非门的输出为F 3,根据逻辑关系其输出波形如图所示。

20-0005、 若各门电路的输入均为A 和B ,且A=0,B=1;则与非门的输出为_________,或非

门的输出为___ ___,同或门的输出为__ __。

20-0006、逻辑代数中有3种基本运算: 、 和 。 A. 或非,与或,与或非 B. 与非,或非,与或非 C. 与非,或,与或 D. 与,或,非

20-0007、逻辑函数有四种表示方法,它们分别是( )、( )、( )和( )。

答案1. 真值表、逻辑图、逻辑表达式、卡诺图;

20-0008、将2004个“1”异或起来得到的结果是( )。

A

B

F

1

F 2F 3

(a)

(b)

20-0009、是8421BCD 码的是( )。 A 、1010 B 、0101 C 、1100 D 、1101

2)、和逻辑式BC A A + 相等的是( )。

A 、ABC

B 、1+B

C C 、A

D 、BC A +

3)、二输入端的或非门,其输入端为A 、B ,输出端为Y ,则其表达式Y= ( )。 A 、AB B 、AB C 、B A + D 、A+B

20-0010、若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。

A.5

B.6

C.10

D.50

20-0011、 若所设计的编码器是将 31 个一般信号转换成二进制代码,则输出应是一组 N= ()位的二进制代码。

A .3

B .4

C .5

D .6

4. 逻辑表达式A+BC=( )。

A. A+B

B. A+C

C. (A+B )(A+C )

D. B+C

8. 组合电路设计的结果一般是要得到( )。

A. 逻辑电路图

B. 电路的逻辑功能

C. 电路的真值表

D. 逻辑函数式

3、 C A AB Y +=,Y 的最简与或式为 ;

C B A +=Y ;

组合逻辑电路练习题和答案

第2章习题 一、单选题 1.若在编码器中有50个编码对象,则输出二进制代码位数至少需要( B )位。 A)5 B)6 C)10 D)50 2.一个16选1的数据选择器,其选择控制(地址)输入端有( C )个,数据输入端有( D )个,输出端有( A )个。 A)1 B)2 C)4 D)16 3.一个8选1的数据选择器,当选择控制端S2S1S0的值分别为101时,输出端输出( D )的值。 A)1 B)0 C)D4D)D5 4.一个译码器若有100个译码输出端,则译码输入端至少有( C )个。 A)5 B)6 C)7 D)8 5.能实现并-串转换的是( C )。 A)数值比较器B)译码器C)数据选择器D)数据分配器 6.能实现1位二进制带进位加法运算的是( B )。 A)半加器B)全加器C)加法器D)运算器 7.欲设计一个3位无符号数乘法器(即3×3),需要()位输入及( D )位输出信号。A)3,6 B)6,3 C)3,3 D)6,6 8.欲设计一个8位数值比较器,需要()位数据输入及( B )位输出信号。 A)8,3 B)16,3 C)8,8 D)16,16 9. 4位输入的二进制译码器,其输出应有( A )位。 A)16 B)8 C)4 D)1 二、判断题 1. 在二——十进制译码器中,未使用的输入编码应做约束项处理。() 2. 编码器在任何时刻只能对一个输入信号进行编码。()

3. 优先编码器的输入信号是相互排斥的,不容许多个编码信号同时有效。( ) 4. 编码和译码是互逆的过程。( ) 5. 共阴发光二极管数码显示器需选用有效输出为高电平的七段显示译码器来驱动。( ) 6. 3位二进制编码器是3位输入、8位输出。( ) 7. 组合逻辑电路的特点是:任何时刻电路的稳定输出,仅仅取决于该时刻各个输入变量的取值,与电路原来的状态无关。( ) 8. 半加器与全加器的区别在于半加器无进位输出,而全加器有进位输出。( ) 9. 串行进位加法器的优点是电路简单、连接方便,而且运算速度快。( ) 10. 二进制译码器的每一个输出信号就是输入变量的一个最小项。( ) 11. 竞争冒险是指组合电路中,当输入信号改变时,输出端可能出现的虚假信号。( ) 三、综合题 1.如图所示逻辑电路是一个什么电路,当A 3~A 0输入0110,B 3~B 0输入1011,Cin 输入1时,Cout 及S 3~S 0分别输出什么 +A 3B 3C in 3C out +++A 2B 2A 1B 1A 0B 0210 答:图中所示电路是4位串行进位全加器电路 C out =1,S 3S 2S 1S 0=0001 2.使用门电路设计一个4选1的数据选择 器,画出逻辑图。 解:4选1数据选择器有4个数据输入 端(D 0D 1D 2D 3),2个选择输入端(S 1S 0),1个 数据输出端(Y )。真值表如下: D S 1 S 0 Y

组合逻辑电路的设计题目

1、在一旅游胜地,有两辆缆车可供游客上下山,请设计一个控制缆车正常运行的逻辑电路。要求:缆车A和B在同一时刻只能允许一上一下的行驶,并且必须同时把缆车的门关好后才能行使。设输入为A、B、C,输出为Y。(设缆车上行为“1”,门关上为“1”,允许行驶为“1”) (1) 列真值表;(4分) (2)写出逻辑函数式;(3分) (3)用基本门画出实现上述逻辑功能的逻辑电路图。(5分) 解:(1)列真值表:(3)逻辑电路图: A B C Y 000 001 010 011 100 101 110 111 (2)逻辑函数式: 2、某同学参加三类课程考试,规定如下:文化课程(A)及格得2分,不及格得0分;专业理论课程(B)及格得3分,不及格得0分;专业技能课程(C)及格得5分,不及格得0分。若总分大于6分则可顺利过关(Y),试根据上述内容完成: (1)列出真值表; (2)写出逻辑函数表达式,并化简成最简式; (3)用与非门画出实现上述功能的逻辑电路。 (3)逻辑电路图 A B C Y 000 001 010 011 100 101 110 111 (2)逻辑函数表达式3、中等职业学校规定机电专业的学生,至少取得钳工(A)、车工(B)、电工(C)中级技能证书的任意两种,才允许毕业(Y)。试根据上述要求:(1)列出真值表;(2)写出逻辑表达式,并化成最简的与非—与非形式;(3)用与非门画出完成上述功能的逻辑电路。 解:(1(3)逻辑电路: A B C Y 000 001 010 011 100 101 110 111 (2)逻辑表达式: 最简的与非—与非形式: 4、人的血型有A、B、AB和O型四种,假定输血规则是:相同血型者之间可输出,AB血型者可接受其他任意血型,任意血型者可接受O型血。图1是一个输血判断电路框图,其中A1A0表示供血者血型,B1B0表示受血者型,现分别用00、01、10和11表示A、B、AB和O四种血型。Y 为判断结果,Y=1表示可以输血,Y=0表示不允许输血。请写出该判断电路的真值表、最简与—或表达式,并画出用与非门组成的逻辑图。 输血判断电路框图: 解:(1)真值表:(3)逻辑图: 输入输出 A1A0B1B0Y 0000 0001 0010 0011

3组合逻辑电路习题解答

3组合逻辑电路习题解答 33 自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为11010101时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 11111101 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的? A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。

组合逻辑电路习题解答

自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。 A . B A F = B .0101B B A A F ++= .0011B A B A F ++=

组合逻辑电路习题答案

第3章 组合逻辑电路 试分析图所示组合逻辑电路的逻辑功能,写出逻辑函数式,列出真值表,说明电路完成的逻辑功能。 (b) (c) (a)A B C D L =1 =1 =1 C 2 L 1L 2L 3 图 题图 解:由逻辑电路图写出逻辑函数表达式: 图a :D C B A L ⊕⊕⊕= 图b :)()(21B A C AB B A C AB L C B A L ⊕+=⊕=⊕⊕= 图c :B A B A L B A A B B A B A L B A B A L =+=+=+++==+=321 由逻辑函数表达式列写真值表: A B C D L 0 0 0 0 00 0 0 1 10 0 1 0 10 0 1 1 00 1 0 0 10 1 0 1 00 1 1 0 00 1 1 1 11 0 0 0 11 0 0 1 01 0 1 0 01 0 1 1 11 1 0 0 01 1 0 1 11 1 1 0 11 1 1 1 0 A B C L 1L 2 0 0 0 0 00 0 1 1 00 1 0 1 00 1 1 0 11 0 0 1 01 0 1 0 11 1 0 0 11 1 1 1 1 A B L 1L 20 0 0 1 0L 3 0 1 0 0 11 0 1 0 01 1 0 1 0 由真值表可知:图a 为判奇电路,输入奇数个1时输出为1;图b 为全加器L 1为和,L 2为进位;图c 为比较器L 1为1表示A>B ,L 2为1表示A=B, L 3为1表示A

(完整版)第20章习题1-门电路与组合逻辑电路

第20章习题 门电路和组合逻辑电路 S10101B 为实现图逻辑表达式的功能,请将TTL 电路多余输入端C 进行处理(只需一种处理方法),Y 1的C 端应接 ,Y 2的C 端应接 , 解:接地、悬空 S10203G 在F = AB +CD 的真值表中,F =1的状态有( )。 A. 2个 B. 4个 C. 3个 D. 7个 解:D S10203N 某与非门有A 、B 、C 三个输入变量,当B =1时,其输出为( )。 A. 0 B. 1 C. AC D. AC 解:C S10204B 在数字电路中,晶体管的工作状态为( )。 A. 饱和 B. 放大 C. 饱和或放大 D. 饱和或截止 解:D S10204I 逻辑电路如图所示,其逻辑函数式为( )。 A. B A B A + B. AB B A + C. B A B A + D. A AB + 解:C S10204N 已知F =AB +CD ,选出下列可以肯定使F = 0的情况( )。 A. A = 0,BC = 1 B. B = C = 1 C. C = 1,D = 0 D. AB = 0,CD = 0 解:D S10110B 三态门电路的三种可能的输出状态是 , , 。 解:逻辑1、逻辑0、高阻态 1 & B 1 & ≥1

逻辑图和输入A ,B 的波形如图所示,分析当输出F 为“1”的时刻应是( )。 A. t 1 B. t 2 C. t 3 解:A S10211I 图示逻辑电路的逻辑式为( )。 A. F =A B AB + B. B A AB F = C. F =()A B AB + 解:B S10212I 逻辑电路如图所示,其功能相当于一个( )。 A. 门 B. 与非门 C. 异或门 解:C S10216B 图示逻辑电路的逻辑式为( )。 A. F =A B +A B B. F =AB AB + C. F =AB +A B 解:C S10217B 逻辑图如图(a )所示,输入A 、B 的波形如图(b ),试分析在t 1瞬间输出F 为( )。 A. “1” B. “0” C. 不定 解:B S10218B 图示逻辑符号的逻辑状态表为( )。 A. B. C. 解:B A B F A B F A B F 0 0 0 0 0 0 0 0 1 0 1 0 0 1 1 0 1 1 1 0 0 1 0 1 1 0 1 1 1 1 1 1 1 1 1

数电组合逻辑电路练习题

数电组合逻辑电路练习题 一、填空题 1. 如果对键盘上108个符号进行二进制编码,则至少要 位二进制数码2. C A AB Y +=,Y 的最简与或式为 。 3. TTL 电路如图1,电路的逻辑表达式F 。 图 1 4.四输入TTL 或非门,在逻辑电路中使用时,有2个输入端是多余的,应将多余端接 。 5. 在TTL 、CMOS 逻辑族中,在电源电压值相同时,噪声容限大的是_______________. 6.F=A B +BD+CDE+A D 最简的与或式是_______________. 7.试将函数F A B C AC BC AC A B AB (,,)()=++++,简化成与或表达式F =_____________. 8. 请分析图示TTL 器件组成的电路,填写所列的真值表. 9.请写出下图S 的表达式 。CO 的表达式 。

1. 7 ,2.AC AB +, 3.A+B , 4.接地, 接低电平或并联使用, 5.CMOS 逻辑;6. D B A +;7. F =C B +; 9.S=B A ⊕ ;CO=AB 二、是非题 (注:请在每小题后用"√"表示对,用"×"表示错) 1.图1TTL 电路逻辑表达式F=A 。 图 2.图2电路输出函数 F =B A + 。 3. 凡是用与非门构成的逻辑电路一定是组合电路。 4. CMOS 门的输出结构和TTL 的类似,可以分成标准的、漏极开路及3态输出三种 。 5. 十进制是7,它的8421BCD 码是0111。 6. 如果与非门输入端均为高电平,那么它所带的是灌电流负载 。 7. 一个16选一的数据选择器,其地址输入(选择控制输入)端有16。 8.当与非门两个输入端AB 的状态由00→11时,将可能产生竞争冒险。 9. 若有变量均为A 、B 、C 、D 的两个逻辑函数F 和G ,且有F +G =1的关系,则F =1-G 的等式成立。 10. 对于共阳接法的发光二极管数码显示器,应采用高电平驱动的七段显示译码器。 1. ×; 2. ×; 3. × ; 4. √; 5. √; 6. √; 7. ×; 8. ×; 9. ×;10. ×; DD F A B

组合逻辑电路设计心得体会

组合逻辑电路设计心得体会篇一:实验一_组合逻辑电路分析与设计 实验1 组合逻辑电路分析与设计 XX/10/2 姓名:学号: 班级:15自动化2班 ? 实验内容................................................. .. (3) 二.设计过程及讨论 (4) 1.真值表................................................. .(转载于: 小龙文档网:组合逻辑电路设计心得体会)................4 2.表达式的推导................................................. .....5 3.电路图................................................. .................7 4.实验步骤................................................. .............7 5. PROTEUS软件仿真 (9)

三测试过程及结果讨论.....................................11 1.测试数据................................................. ...........11 2.分析与讨论................................................. . (13) 四思考题................................................. (16) 实验内容: 题目: 设计一个代码转换电路,输入为4位8421码输出为4位循环码(格雷码)。 实验仪器及器件: 1.数字电路实验箱,示波器 2.器件:74LS00(简化后,无需使用,见后面) 74LS86(异或门),74LS197 实验目的: ①基本熟悉数字电路实验箱和示波器的使用 ②掌握逻辑电路的设计方法,并且掌握推导逻辑表达式的方法 ③会根据逻辑表达式来设计电路 1.真值表:

第4章_组合逻辑电路习题解答

习题 写出图所示电路的逻辑表达式,并说明电路实现哪种逻辑门的功能。 习题图 解:B A B A B A B A B A F ⊕=+=+= 该电路实现异或门的功能 分析图所示电路,写出输出函数F 。 习题图 解:[]B A B B B A F ⊕=⊕⊕⊕=)( 已知图示电路及输入A 、B 的波形,试画出相应的输出波形F ,不计门的延迟. 解:B A B A B A AB B AB A AB B AB A F ⊕=?=???=???= 由与非门构成的某表决电路如图所示。其中A 、B 、C 、D 表示4个人,L=1时表示决议通过。 (1) 试分析电路,说明决议通过的情况有几种。 (2) 分析A 、B 、C 、D 四个人中,谁的权利最大。 习题图 解:(1)ABD BC CD ABD BC CD L ++=??= (2) A C & & & & L B A =1 =1 =1 F F A B F B A

(3)根据真值表可知,四个人当中C 的权利最大。 分析图所示逻辑电路,已知S 1﹑S 0为功能控制输入,A ﹑B 为输入信号,L 为输出,求电路所具有的功能。 习题图 解:(1)011011)(S S B S A S S B S A L ⊕⊕+⊕=⊕⊕?⊕= (2) (3)当S 1S 0=00和S 1S 0=11S 1S 0=01时,该电路实现两输入或非门,当S 1S 0=10时,该电路实现两输入与非门。 (2) A 10

电路逻辑功能为:“判输入ABC 是否相同”电路。 已知某组合电路的输入A 、B 、C 和输出F 的波形如下图所示,试写出F 的最简与或表达式。 习题图 解:(1)根据波形图得到真值表: C AB BC A C B A F ++= 、设∑= )14,12,10,9,8,4,2() ,,,(m D C B A F ,要求用最简单的方法,实现的电路最简单。 1)用与非门实现。 2)用或非门实现。 3) 用与或非门实现。 解:1) (1)将逻辑函数化成最简与或式并转换成最简与非与非式。 F C B A F

组合逻辑电路的设计

\ 广州大学学生实验报告 开课学院及实验室:电子信息楼410 2013年5月20日 学院 机械与电气 工程学院 年级、专 业、班 11级电气1班姓名·学号 实验课程名 称 数字电子技术实验成绩 实验项目名称; 实验二设计性实验——组合逻辑电路的设计 指导 老师 一、实验目的 1、学习组合逻辑电路的设计方法; 2、掌握使用通用逻辑器件实现逻辑电路的一般方法。 二、实验原理 使用中、小规模集成电路来设计组合电路时最常见的逻辑电路设计方法。设计的过程通常是根据 给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成 的工作。 , 组合逻辑电路的设计工作通常可按如下步骤进行。 (1)进行逻辑抽象 (2)写出逻辑函数式 (3)选定器件的类型 (4)将逻辑函数化简或变换成适当形式 (5)根据化简或变换后的逻辑函数式画出逻辑电路的连接图 (6)工艺设计 例设计一个监视交通信号灯工作状态的逻辑电路。每一组信号灯由红、黄、绿3盏灯组成,如图 3-22所示。正常工作情况下,任何时刻必有一盏灯亮,而且只允许有一盏灯亮。而当出现其他5种 点亮状态时,电路发生故障,这是要求发出故障信号,以提醒维护人员前去修理。 { 首先进行逻辑抽象。 取红、黄、绿3盏灯的状态为输入变量,分别用R、Y、G表示,并规定灯亮时为1,不亮为0。取故 障信号为输出变量,以Z表示,并规定正常工作状态下Z=0,发生故障时Z=1。更具题意可列出表3-9 所示的逻辑真值表。 表3-9真值表 R Y G Z\ R Y G Z 000[ 1 1000 00| 1 01011 0{ 1 001101

组合逻辑电路习题解答

( 有些题答案错了 )自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出0 7 Y ~Y = 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、

B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达 式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的 A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器

组合逻辑电路习题解答

复习思考题 3-1 组合逻辑电路的特点 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加什么是全加区别是什么 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险产生竞争-冒险的原因是什么如何消除竞争-冒险 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换:

令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= 输入 中间变量 中间变量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0 (4)分析逻辑功能:由真值表可知,该电路所能完成的逻辑功能是:判断四个输入端输入1的情况,当输入奇数个1时,输出为1,否则输出为0。 (b)图 (1) 由逻辑图逐级写出表达式: B A B A Y ⊕⊕⊕=(2) 化简与变换:Y=1 由此可见,无论输入是什么状态,输出均为1 3-2 试分析图所示各组合逻辑电路的逻辑功能,写出函数表达式。

组合逻辑电路练习题及答案.doc

组合逻辑电路练习题及答案 一.填空题(10) 1.任何有限的逻辑关系,不管多么复杂,其逻辑函数都可通过逻辑变量的与、或、非三种运算符加以实现,但逻辑函数的一般表达式不是唯一的,而其标准表达式是唯一的。 2.任意两个最小项之积为0,任意两个最大项之和为1。 3.对于逻辑函数BC F+ =,为了化简,利用逻辑代数的基本定理,可表示为C + C A AB =,但这 F+ AB A 可能引起0型险象,因为在B=1、C=1时,化简前逻辑函数的值恒为1,但化简后逻辑函数的值为A A+。 4.当我们在计算机键盘上按一个标为“9”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为39。 5.在3.3V供电的数字系统里,所谓的高电平并不是一定是3.3V,而是有一个电压范围,我们把这个电压范围称为高电平容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平容限。 二.选择题(10) 1.在下列程序存储器的种类中,可在线改写的有 b d。 a. PROM; b. E2PROM; c. EPROM; d. FLASH_M 2.为了实现某种逻辑运算关系,其实现方法有多种多样,其中历史上曾经用到的有以下几种方式,但实现的空间密度最小、能耗最低、能得到普及应用的实现方式是d。 a. 机械式; b.电磁式; c. 分立元件式; d. 集成电路 3.在数字电路中,根据电路是否具有反馈记忆功能,将其分为组合逻辑电路和时序逻辑电路两种。下列各项中,为组合逻辑电路的是befgi ,为时序逻辑电路的是acdh。 a. 触发器; b. 译码器; c. 移位寄存器; d. 计数器; e. 加法器; f. 编码器;g. 数值比较器;h. 寄存器;i. 多路选择器 4.卡诺图上变量的取值顺序是采用b的形式,以便能够用几何上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII码; d. 十进制码 5.在可编程逻辑芯片中,有PROM、PAL、GAL、CPLD等多种结构方式,其中PROM是b,PAL 是c,GAL是a,CPLD是a。 a. 与阵列可编程; b.或阵列可编程; c. 与或阵列皆可编程 三.简答题(50) 1.分别画出JK和D触发器的电路符号图,并分别画出将JK触发器转换成D触发器以及将D触发器转换成JK触发器的电路连接图。 1

组合逻辑电路的设计

组合逻辑电路的设计 一.实验目的 1、加深理解组合逻辑电路的工作原理。 2、掌握组合逻辑电路的设计方法。 3、掌握组合逻辑电路的功能测试方法。 二.实验器材 实验室提供的芯片:74LS00与非门、74LS86异或门,74LS54与或非门,实验室提供的实验箱。 三.实验任务及要求 1、设计要求 (1)用与非门和与或非门或者异或门设计一个半加器。 (2)用与非门和与或非门或者异或门设计一个四位奇偶位判断电路。 2、实验内容 (1)测试所用芯片的逻辑功能。 (2)组装所设计的组合逻辑电路,并验证其功能是否正确。 三.实验原理及说明 1、简述组合逻辑电路的设计方法。 (1)分析实际情况是否能用逻辑变量来表示。 (2) 确定输入、输出逻辑变量并用逻辑变量字母表示,作出逻辑规定。 (3) 根据实际情况列出逻辑真值表。 (4) 根据逻辑真值表写出逻辑表达式并化简。 (5) 画出逻辑电路图,并标明使用的集成电路和相应的引脚。 (6) 根据逻辑电路图焊接电路,调试并进一步验证逻辑关系是否与实际情况相符。 2、写出实验电路的设计过程,并画出设计电路图。 (1)半加器的设计 如果不考虑有来自低位的进位将两个1位二进制数相加。 A、B是两个加数,S是相加的和,CO是向高位的进位。 逻辑表达式 S=A’B+A’B=A⊕B CO=AB (2)设计一个四位奇偶位判断电路。 当四位数中有奇数个1时输出结果为1;否则为0。 A, B, C, D 分别为校验器的四个输入端,Y时校验器的输出端

逻辑表达式 Y=AB’C’D’+A’BC’D’+A’B’C D’+A’B’C’D+A’BCD+AB’CD+ABC’D+ABCD’ =(A⊕B)⊕(C⊕D) 四.实验结果 1、列出所设计电路的MULTISM仿真分析结果。 (1)半加器的设计,1-A被加数,2-B加数,XMMI(和数S)XMM2(进位数CO) (2)设计一个四位奇偶位判断电路。

第3章--组合逻辑电路习题解答

复习思考题 3-1 组合逻辑电路的特点? 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加?什么是全加?区别是什么? 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点? 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题? 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险?产生竞争-冒险的原因是什么?如何消除竞争-冒险? 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图3.55所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换:

令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= (3)由表达式列出真值表,见表3.1。 输入 中间变量 中间变量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 0 1 1 0 0 1 0 1 1 0 (4)分析逻辑功能:由真值表可知,该电路所能完成的逻辑功能是:判断四个输入端输入1的情况,当输入奇数个1时,输出为1,否则输出为0。 (b)图 (1) 由逻辑图逐级写出表达式: B A B A Y ⊕⊕⊕=(2) 化简与变换:Y=1 由此可见,无论输入是什么状态,输出均为1 3-2 试分析图3.56所示各组合逻辑电路的逻辑功能,写出函数表达式。

组合逻辑电路的设计实验报告

中国石油大学现代远程教育 电工电子学课程实验报告 所属教学站:青岛直属学习中心 姓名:杜广志学号: 年级专业层次:网络16秋专升本学期: 实验时间:2016-11-05实验名称:组合逻辑电路的设计 小组合作:是○否●小组成员:杜广志 1、实验目的: 学习用门电路实现组合逻辑电路的设计和调试方法。 2、实验设备及材料: 仪器:实验箱 元件:74LS00 74LS10 3、实验原理: 1.概述 组合逻辑电路又称组合电路,组合电路的输出只决定于当时的外部输入情况,与电路过去状态无关。因此,组合电路的特点是无“记忆性”。在组成上组合电路的特点是由各种门电路连接而成,而且连接中没有反馈线存在。所以各种功能的门电路就是简单的组合逻辑电路。 组合逻辑电路的输入信号和输出信号往往不止一个,其功能描述方法通常有函数表达式、真值表、卡诺图和逻辑图等几种。 组合逻辑电路的分析与设计方法,是立足于小规模集成电路分析和设计的基本方法之一。 2.组合逻辑电路的分析方法 分析的任务是:对给定的电路求解其逻辑功能,即求出该电路的输出与输入之间的逻辑关系,通常是用逻辑式或真值表来描述,有时也加上必须的文字说明。 分析的步骤: (1)逐级写出逻辑表达式,最后得到输出逻辑变量与输入逻辑变量之间的逻辑函数式。 (2)化简。 (3)列出真值表。 (4)文字说明 上述四个步骤不是一成不变的。除第一步外,其它三步根据实际情况的要求而采用。 3.组合逻辑电路的设计方法 设计的任务是:由给定的功能要求,设计出相应的逻辑电路。 设计的步骤; (1)通过对给定问题的分析,获得真值表。 在分析中要特别注意实际问题如何抽象为几个输入变量和几个输出变量之间的逻辑关系问题,其输出变量之间是否存在约束关系,从而获得真值表或简化

组合逻辑电路习题(附答案)

例1 指出下图1所示电路的输出逻辑电平是高电平、低电平还是高阻态。已知图(a)中的门电路都是74系列的TTL门电路,图(b)中的门电路为CC4000系列的CMOS门电路。 图1 解:TTL门电路的输入端悬空时,相当于高电平输入,输入端接有电阻时,其电阻阻值大于1.4K时,该端也相当于高电平,电阻值小于0.8K时,该端才是低电平。而CMOS逻辑门电路,输入端不管是接大电阻还是接小电阻,该端都相当于低电平(即地电位)。所以有如下结论: (a) 1L为低电平状态;2L是低电平状态;3L是高电平状态;4L 输出为高阻状态; (b) 1L输出为高电平;2L输出是低电平状态;3L输出是低电平

状态; 例2 图例2所示为用三态门传输数据的示意图,图中n 个三态门连到总线BUS ,其中D 1、D 2、…、D n 为数据输入端,EN 1、EN 2、…、EN n 为三态门使能控制端,试说明电路能传输数据的原理。 图例2 解:由三态门电路符号可知,当使能端低电平时,三态门输出为高阻阻态,所以,只要给各三态门的使能端n EN EN EN ,,,21 依次为高电平时,则,1n D D 的数据就依次被传输到总线上去。 例3 某功能的逻辑函数表达式为L=∑m(1,3,4,7,12,14,15); (1)试用最少量的“与-非”门实现该函数; (2)试用最少量的“或-非”门实现该函数; 解: (1)设变量为A 、B 、C 、D ,用卡诺图化简,结合“1”方格

得:D B A CD A ABC D C B D B A CD A ABC D C B D C B A f L ) , , , ( (2)卡诺图中结合“0”方格,求最简的“或—与”表达式,得: D C A D C B D B B A D C A D C B D B B A L ) )( )( )( (

第章组合逻辑电路习题解答

第章组合逻辑电路习题 解答 公司内部档案编码:[OPPTR-OPPT28-OPPTL98-OPPNN08]

复习思考题 3-1 组合逻辑电路的特点 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2 什么是半加什么是全加区别是什么 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来自低位的进位三者相加,称为全加。半加是两个1位二进制数相加,全加是三个1位二进制数相加。 3-3 编码器与译码器的工作特点 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4 用中规模组合电路实现组合逻辑函数是应注意什么问题 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5 什么是竞争-冒险产生竞争-冒险的原因是什么如何消除竞争-冒险 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两

个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争-冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习 题 3-1试分析图所示各组合逻辑电路的逻辑功能。 解: (a)图 (1) 由逻辑图逐级写出表达式:)()(D C B A Y ⊕⊕⊕= (2) 化简与变换: 令 D C Y B A Y ⊕=⊕=21 则 21Y Y Y ⊕= (3)由表达式列出真值表,见表。 输入 中间变量 中间变 量 输出 A B C D Y 1 Y 2 Y 0 0 0 0 0 0 0 1 0 0 1 0 0 0 0 1 0 1 1 0 0 0 1 1 0 1

常用组合逻辑电路设计

实 验 报 告 实验日期: 学 号: 姓 名: 实验名称: 常用组合逻辑电路设计 总 分: 一、实验目的 学习常用组合逻辑电路的可中和代码编写,学习并熟悉VHDL 编程思想与调试方法,掌握LPM 元件实现逻辑设计,从而完成电路设计的仿真验证和硬件验证,记录结果。 二、实验原理 VHDL 设计采用层次化的设计方法,自上向下划分系统功能并逐层细化逻辑描述。层次关系中的没一个模块可以是VHDL 描述的实体,上层VHDL 代码中实例化出各个下层子模块。 利用VHDL 语言和LPM 元件设计这两种方法方法实现两个二位数大小比较的电路,根据A 数是否大于、小于、等于B 数,相应输出端F1、F2、F3为1,设A=A2A1,B=B2B1(A2A1、B2B1表示两位二进制数),当A2A1>B2B1时,F1为1;A2A1

port(a2,a1:in STD_LOGIC; b2,b1:in STD_LOGIC; f1,f2:buffer STD_LOGIC; f3:out STD_LOGIC); end bijiao; architecture bijiao_arch of bijiao is begin f1<=(a2 and(not b2))or(a1 and (not b1)and a2)or(a1 and (not b1)and(not b2)); f2<=((not a2)and b2)or((not a2)and(not a1)and b1)or((not a1)and b1 and b2); f3<=not(f1 or f2); end bijiao_arch; (2)波形仿真 网格大小 100ns 结束时间 2μs 功能仿真:时序仿真:输入信号00, 01,10,11 输入信号00, 01,10,11 输出信号001, 010,100 信号均为二 进制表达 输入信号00, 01,10,11

组合逻辑电路习题--优选解答.docx

复习思考题 3-1组合逻辑电路的特点? 从电路结构上看,组合电路只由逻辑门组成,不包含记忆元件,输出和输入之间无反馈。任意时刻的输出仅仅取决于该时刻的输入,而与电路原来的状态无关,即无记忆功能。 3-2什么是半加?什么是全加?区别是什么? 若不考虑有来自低位的进位将两个1位二进制数相加,称为半加。两个同位的加数和来 自低位的进位三者相加,称为全加。半加是两个 1位二进制数相加,全加是三个 1位二进制数相加。 3-3编码器与译码器的工作特点? 编码器的工作特点:将输入的信号编成一个对应的二进制代码,某一时刻只能给一个信号编码。译码器的工作特点:是编码器的逆操作,将每个输入的二进制代码译成对应的输出电平。 3-4用中规模组合电路实现组合逻辑函数是应注意什么问题? 中规模组合电路的输入与输出信号之间的关系已经被固化在芯片中,不能更改,因此用中规模组合电路实现组合逻辑函数时要对所用的中规模组合电路的产品功能十分熟悉,才能合理地使用。 3-5什么是竞争-冒险?产生竞争- 冒险的原因是什么?如何消除竞争- 冒险? 在组合逻辑电路中,当输入信号改变状态时,输出端可能出现虚假信号----过渡干扰脉冲的现象,叫做竞争冒险。门电路的输入只要有两个信号同时向相反方向变化,这两个信号经过的路径不同,到达输入端的时间有差异,其输出端就可能出现干扰脉冲。消除竞争- 冒险的方法有:接入滤波电容、引入选通脉冲、修改逻辑设计。 习题 3-1 试分析图所示各组合逻辑电路的逻辑功能。 解:(a)图(1)由逻辑图逐级写出表达式:Y ( A B) (C D ) ( 2)化简与变换: Y1A B 令 Y2 C D 则Y Y1 Y2 (3)由表达式列出真值表,见表。 输入中间变量中间变量输出 A B C D Y 1Y 2Y 0000000 0001011

相关文档
最新文档