数字频率计课程设计报告

数字频率计课程设计报告
数字频率计课程设计报告

数字频率计课程设计报告 Modified by JEEP on December 26th, 2020.

信息科学与工程学院

数字频率计

设计报告书

前言

摘要:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率

的测量就显得更为重要。测量频率的方法有多种,其中数字

计数器测量频率具有精度高、使用方便、测量迅速,以及

便于实现测量过程自动化等优点,是频率测量的重要手段

之一。其原理为通过测量一定闸门时间内信号的脉冲个

数。本文阐述了设计了一个简单的数字频率计的过程。

关键词:频率计,闸门,逻辑控制,计数-锁存

目录

第一章设计目的

第二章设计任务和设计要求

设计任务及基本要求

.系统结构要求

第三章系统概述

概述

设计原理及方案

第四章单元电路设计及分析

时基电路

逻辑控制电路

计数电路

锁存电路

显示译码电路

闸门电路

报警电路

第五章安装与调试过程

电路的安装过程

电路的调试过程

出现的问题及解决办法

第六章结果分析

第七章收获与体会

第八章元件清单

第十章实现结果实物图

附录A 参考文献

附录B总体电路图

第一章

设计目的:

1.了解数字频率计测量频率与测量周期的基本原

理;

2.熟练掌握数字频率计的设计与调试方法及减小测

量误差的方法。

3.本设计与制作项目可以进一步加深我们对数字电

路应用技术方面的了解与认识,进一步熟悉数字电路系统设

计、制作与调试的方法和步骤。

4.针对电子线路课程要求,对我们进行实用型电子

线路设计、安装、调试等各环节的综合性训练,培养我们运

用课程中所学的理论与实践紧密结合,独立地解决实际问题

的能力。

第二章

设计任务及要求:

设计任务及基本要求:

设计一简易数字频率计,其基本要求是:

1)测量频率范围0~999999Hz;

2)最大读数999999HZ,闸门信号的采样时间为1s;.

3)被测信号可以是正弦波、三角波和方波;

4)显示方式为6位十进制数显示;

5)具有超过量程报警功能。

5)输入信号最大幅值可扩展。

6)测量误差小于+%。

7)完成全部设计后,可使用EWB进行仿真,检测试验设计电路的正确性。

.系统结构要求

数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量。

电子系统非常广泛的应用领域内,到处可见到处理离散信息的数字电路。数字电路制造工业的进步,使得系统设计人员能在更小的空间内实现更多的功能,从而提高系统可靠性和速度。

如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率,转速,声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器

数字集成电路广泛用于计算机、控制与测量系统,以及其它电子设备中。一般说来,数字系统中运行的电信号,其大小往往并不改变,但在实践分布上却有着严格的要求,这是数字电路的一个特点。数字集成电路作为电子技术最重要的基础产品之一,已广泛地深入到各个应用领域。

设计原理及方案

数字频率计是直接用十进制的数字来显示被测信号频率的一种测量装置。它不仅可以测量正弦波、方波、三角波和尖脉冲信号的频率,而且还可以测量它们的周期。

所谓频率就是在单位时间(1s)内周期信号的变化次数。若在一定时间间隔T内测得周期信号的重复变化次数为N,则其频率为f=N/T,据此,设计方案框图如图1所示:

Fx 被测信号

图中脉冲形成的电路的作用是将被测信号变成脉冲信号,其重复频率等于被测信号的频率f X。,时间基准信号发生器提供标准的时间脉冲信号,若其周期为1s ,则们控电路的输出信号持续时间亦准确的等于1s 。闸门电路由标准秒信号进行控制当秒信号来到时,闸门开通,被测脉冲信号通过闸门送到计数器译码显示电路。秒信号结束时闸门关闭,技计数器得的脉冲数N 是在1秒时间内的累计数,所以被测频率f X= N Hz

同时由图可知数字频率计由八部分组成:时基电路,逻辑控制电路,闸门电路,整形电路,计数电路,锁存器,译码显示器和振荡电路。

时基信号发生器提供标准的时间脉冲信号,其高电平持续时间为1S 。闸门电路由标准时间信号进行控制,在时基脉冲的上升沿到来时闸门开启,计数器开始计数,在同一脉冲的下降沿到来时,闸门关闭,计数停止计数。同时逻辑控制电路产生一个锁存信号输送

到锁存器的使能端将结果锁存,并把锁存结果输送到译码器来控制七段显示器,这样就可以得到被测信号的数字显示的频率。而在锁存信号的上升沿到来时,逻辑控制电路产生一个清零信号将计数器清零,为下一次测量做准备,实现了可重复使用,避免两次测量结果相加使结果产生错误。被测信号频率通过计数锁存可直接从计数显示器上读出。

各点的波形如图;

数字频率计的工作时序波形

其中,1路为未知频率波形,2路为时基波形,3路为计数信号波形,4路为锁存信号波形,5路为清零信号波形。

逻辑控制单元的作用有两个:

其一,产生清零脉冲④,使计数器每次从零开始计数;

其二,产生所存信号⑤,是显示器上的数字稳定不变。这些信号之间的时序关系如图2(b)所示数字频率计由时基电路、控制电路、闸门电路、计数锁存和清零电路、脉冲形成电路和译码显示电路组成

第四章

单元电路设计及分析

时基电路

时基电路的功能是产生一个高电平持续时间为1S的信号送到闸门电路以及一个周期为1S的信号送到逻辑控制电路。它由两部分组成,是一个32768和一个CC4060芯片以及两个T‘触发器组成。

32768的核心是晶体振荡管,晶体振荡管提供一个频率稳定的32768hz的方波信号,由32768和CC4060连成的电路产生的2HZ脉冲信号送到逻辑控制电路,此脉冲信号在经过一个T’触发器分频后得到高电平持续时间为1S的脉冲信号送入闸门。

时基信号电路图如下;

逻辑控制电路

逻辑控制电路的功能是在计数器计数完成后产生一个3S

的锁存信号输送到锁存器的使能端将结果锁存,并把锁存结果输送到译码器来控制七段显示器,这样就可以得到被测信号的数字显示的频率。而在锁存信号的上升沿到来时,逻辑控制电路产生一个清零信号将计数器清零。

逻辑控制电路由一个74LS160芯片和一个74LS138芯片组成以及两个非门。选用74LS160和一个非门改装成一个五进制计数器,再把五进制计数器前三个输出端对应的接到74LS138的三个输入端A2,A1,A0,从时基电路送来的周期为1S的脉冲信号输送到

74LS160的CP端,于是在脉冲信号的不断作用下74LS138的输出端从Y0~Y4的非之间不断变化。其中Y0的非信号经过一个非门送到计数器的S1,S2端使计数器能够正常计数,Y1的信号即是锁存信号,送到锁存器的CP端,Y4的非信号是清零信号,送到锁存器的CP端。

其电路图如下:

计数器

计数器的功能是对闸门送来的被测信号的频率进行测量计数。根据精度要求,采用6个十进制计数器级联,构成N=10六次方计数器。十进制计数器仍采用74LS160实现。闸门电路的输出送到每个74LS160的CP端,计数器在74LS138的后输出信号的控制下对被测信号进行脉冲送数。其中计数器的清零信号由74LS138的Y4的非输出端提供,控制信号由74LS138的Y0的非输出端提供,计数器输出结果后送入锁存器。

其电路图如下;

锁存电路

锁存器的作用是将计数器在1s结束时的计数值进行锁存,使显示器获得稳定的测量值。因为计数器在1s内要计算成千上万个输入脉冲,若不加锁存器,显示器上的数字将随计数器的输出而变化,不便于读数。如图2所示,1s的计数结束时。逻辑控制电路发出的锁存信号,将计数器此时的值送到译码器,因此显示器的数字是稳定的。

选用了三片8D锁存器74LS273可以完成上述锁存功能。

74LS273的真值表如表1所示。

表1 74LS273真值表

当时钟脉冲CP的上升沿到来时,锁存器的输出等于输入,即Q=D。从而将六个个十进制计数器即个位、十位、百位、千位、万位、十万位的输出值送到锁存器的输出端。正脉冲结束后,无论输入端D 为何值,输出端Q的状态仍然保持原来的状态。所以在计数周期内,计数器的输出不会送到译码显示器。

锁存电路如下:

显示译码电路

本部分电路由译码器和显像管组成。在锁存器将门控信号周期内的计数结果存储起来情况下,把所存储的状态送入译码器进行译码,在显示器上得到稳定的计数显示。

波形整形电路

为了能测量不同电平值与波形的周期信号的频率,必须对被测信号进行放大与整形处理,使之成为能被计数器有效识别的脉冲信号。信号放大与波形整形电路的作用即在于此。波形整形一般由与非门逻辑电路构成。

整形电路由晶体二极管74LS00等组成。与非门74LS00构成施密特触发器,它输出信号进行整形,从而得到方波脉冲。

闸门电路

本部分电路由与门组成,该电路有两个输入端和一个输出端,输入端的一端,接门控信号,另一端接整形后的被测方波信号。闸门是否开通,受门控信号的控制,当门控信号为高电平“1”时,闸门开启;而门控信号为低电平“0”时,闸门关闭。显然,只有在闸门开启的时间内,被测信号才能通过闸门进入计数器,计数器计数时间就是闸门开启时间。可见,门控信号的宽度一定时,闸门的输出值正比于被测信号的频率,通过计数显示系统把闸门的输出结果显示出来,就可以得到被测信号的频率。

报警电路

本设计要求用六位数码管显示,最高显示为999999。因此,超过999999就要求报警,即当十万位达到9时,如果万位上再来一个时钟脉冲(即进位脉冲),则千位计数器也会产生进位信号,将此信号输出后送给报警电路的输入端,驱动报警电路工作。

第五章

安装与调试过程

设计好以上每个模块的电路后便可画出整个数字频率计的电路图,然后列出所需要的元器件清单。拿到元器件按照整体电路图安装好数字频率及的电路后,进行调试,首先分模块进行调试,在

每个模块调试正确后,不规则进行联调。因为整个电路的分析是瞬态分析,故总体电路的分析需要较长时间。

电路的安装过程

1.连电路之前要先做好一切准备,如;线检查一下面包板是否完好,整理好要用的实验工具,再将要用的芯片按型号分类,这样在连接电路时又方便又不易出错。

2.开始连接电路,电路连接要求导线要横平竖直并且最好不要交叉,所以要先考虑好电路的布局后根据电路连接合理的插接芯片,插芯片时也要注意,要把所有的管脚都插进去后要均匀平稳的按下去,拔芯片的时候也要平稳,以免折断管脚。

3.连电路是要分局部连接,每一个功能模块要分开接,这样连接的电路除了什么错误就比较容易发现并改正。

电路的调试过程

1.用示波器来检测石英晶体振荡器的输出波形和频率,晶振正常的输出频率应为32768HZ。

2.将频率为32768HZ的信号送入分频器,并用示波器检查各级分频器的输出频率是否符合设计要求。

3.用周期为1S的信号作逻辑控制电路的时基信号输入,用发光二极管来检查74LS138的的输出端从Y0~Y4的输出端是否正常

4.用周期为一秒的信号送入各计数器CP端,用发光二极管检查个计数器的正常工作情况。

5.最后用同样的方法检测锁存器是否正常锁存,译码器能否正常工作是显示管显示数字等。

6.分频,逻辑控制电路,计数,锁存和显示都没有问题后,调试完成。

电路出现问题及解决方法

1.在检测面包板状况中,出现本该相通的地方却未通的状况,经检查发现是由于接线点中间断开所致,用导线连接急排除。

2.在检测整体电路时,发现电路短路的情况,并导致数码管烧坏,经检查发现,是有好几个芯片坏掉所致,更换新的数码管和芯片就解决了问题。在检测74LS160向74LS273送数的时候,发现

74LS273的输出始终为0000,测量各管脚的电压后,发现是

74LS160与74LS273之间的线少接了两根,连接上后便能正常工作。去检测6个74LS160芯片的进位状况时,发现千位到万位的进位无法正常进行,经过仔细排查,最后发现有两个74LS160之间的线路连接有问题。

3.检测脉冲发生器时,发现脉冲发生器的输出始终为高电平,这可能是某些元器件损坏的缘故,在对每个元件逐一更换后发现是其中一个电阻坏掉了,更换该电阻后,秒脉冲发生器的输出终于可以在高电平和低电平之间不断地跳变了。

4.在检测74LS273的锁存功能的时,发现输入的频率信号会被重复技术导致显示的频率为原来的两倍,检测后发现锁存功能无法实现的原因是有两根线没有连接,在连接后,电路就恢复正常了。

5.最后输入被测信号的频率测量,发现数码显示的数值是输入信号的一般,而有时候显示的数字却又是正常的。发生这中不稳定的现象时,我们开始初步认定是分频器的问题。在设计电路时候,我们采用的是一片74LS112双JK触发器转换成两个T’触发器作为分频器,于是我们最后使用了两片74LS112双JK触发器,一片74LS112双JK触发器只转换成一个T’触发器,采用了这次改变后,我们发现显示的数值终于正常了。

6.整个连接的时间花了整整两天,而调试却花了三天的时间。可见数字电路的重点是调试工作,调试是设计的核心内容,这是关键。

第六章

结果分析

经过几天的安装与调试,最后终于出结果,实现了以下几个功能:

1)测量频率范围0~999999Hz的频率;

2)最大读数999999HZ,闸门信号的采样时间为1s;.

3)被测信号可以是正弦波、三角波和方波;

4)显示方式为6位十进制数显示;

5)具有超过量程光、声报警功能。

5)输入信号最大幅值可扩展。

6)测量误差小于+%。

存在一定的误差,原因:线路接口太多,为了保持接线的美观,没有很弯曲的线,所以只能弄折线,接线点就会增加,导致一定的误差。报警功能也实现的比较好,当实验测量值超过一定的数值时,电路会自动报警。二极管也会自动亮。

最终试验整体电路图:

分为如下几个模块:整形电路、时钟控制电路,计数模块,锁存模块,译码显示。

输入信号:时钟基准信号ip,待测信号fry

第七章

心得及体会

首先,经过一个星期的课程设计,通过对各种资料的查阅,我发现了自己动手的乐趣。以前所学的知识都被局限于课本之中,这次通过课程设计我体会到了,只要勇于探索和吸收,知识是无边无境的。

以前上课都是上一些最基本的东西而现在却可以将以前学的东西作出有实际价值的东西。在这个过程中,我的确学得到很多在书本上学不到的东西,如:如何利用现有的元件组装得到设计利用计算机来画图等等。但也遇到了不少的挫折,有时遇到了一个错误怎么找也找不到原因所在,找了老半天结果却是接头的方向接错了,有时更是忘接电源了。在学习中的小问题在课堂上不可能犯,在动手的过程中却很有可能犯。特别是在接电路时,一不小心就会犯错,而且很不容易检查出来。但现在回过头来看,还是挺有成就感的。我的动手能力又有了进一步的提高,我感到十分的高兴.

其次,这次课程设计中,我锻炼了自己的自学能力和解决问题的能力。在这个过程中遇到了很多问题,比如如何画图,如何组织那种专业语言,上网、到图书馆查找相关的资料。虽然很费劲,但是其乐无穷。通过此次的设计,我发现到这个设计对数字电子技术的学习要求非常高,我相信在今后的学习和工作中它也占据着非常重要的地位。课堂中的学习是远远不够的,我们还需要自己吸收和再学习,不断的探索和研究。这样在以后的学习工作中才能节节进步,不断创新。不但如此,我想要完成一个任务,不能只局限于

简易数字频率计设计

简易数字频率计设计报告 设计内容: 1、测量信号:方波、正弦波、三角波; 2、测量频率范围: 1Hz~9999Hz; 3、显示方式:4位十进制数显示; 4、时基电路由由555构成的多谐振荡器产生(当标准时间的精度要求较高时,应通过晶体振荡器分频获得); 5、当被测信号的频率超出测量范围时,报警。 设计报告书写格式: 1、选题介绍和设计系统实现的功能; 2、系统设计结构框图及原理; 3、采用芯片简介; 4、设计的完整电路以及仿真结果; 5、Protel绘制的电路原理图; 6、制作的PCB; 7、课程设计过程心得体会(负责了哪些内容、学到了什么、遇到的难题及解决方法等)。 电子课程设计过程: 系统设计→在Multisim2001下仿真→应用Protel 99SE绘制电路原理图→制作PCB →撰写设计报告

简易数字频率计课程设计报告 第一章技术指标 1.1整体功能要求 1.2系统结构要求 1.3电气指标 1.4扩展指标 1.5设计条件 第二章整体方案设计 2.1 算法设计 2.2 整体方框图及原理 第三章单元电路设计 3.1 时基电路设计 3.2闸门电路设计 3.3控制电路设计 3.4 小数点显示电路设计 3.5整体电路图 3.6整机原件清单 第四章测试与调整 4.1 时基电路的调测 4.2 显示电路的调测 4-3 计数电路的调测 4.4 控制电路的调测 4.5 整体指标测试 第五章设计小结 5.1 设计任务完成情况 5.2 问题及改进

5.3心得体会附录 参考文献

第一章技术指标 1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 数字频率计整体方案结构方框图 3.电气指标 3.1被测信号波形:正弦波、三角波和矩形波。 3.2 测量频率范围:分三档: 1Hz~999Hz 0.01kHz~9.99kHz 0.1kHz~99.9kHz 3.3 测量周期范围:1ms~1s。 3.4 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 3.6当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~99.9kHz的精度均为+1。

电子技术课程设计(数字频率计的设计)

一课程设计题目:数字频率计的设计 二、功能要求 (1)主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。 (2)率范围:分四1Hz~999Hz、01kHz~9.99kHz、1kHz~99.9kHz、10~999KHZ (3)周期范围:1ms~1s。 (4)用3个发光二极管表示单位,分别对应3个高档位。 三频率计设计原理框图 正弦波 数字频率计原理框图 1

测试电路原理:在测试电路中设置一个闸门产生电路,用于产生脉冲宽度为1s 的闸门信号。改闸门信号控制闸门电路的导通与开断。让被测信号送入闸门电路,当1s闸门脉冲到来时闸门导通,被测信号通过闸门并到达后面的计数电路(计数电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。测量频率的误差与闸门信号的精度直接相关。 被测信号 频率测量算法对应的方框图 四、各部分电路及仿真 1 整形电路部分 整形电路的目的是将三角波、正弦波变成方便计数的脉冲信号。整形电路可以直接用555定时器构成施密特触发。 本次设计采用555定时器,适当连接若干个电阻就可以构成触发器 图1-1 整形电路 将555定时器的THR和TR1两个输入端连在一起作为信号输入端,则可得到 显示电路 闸门产生 输入电路闸门计数电路

施密特触发器,为了提高其稳定性通常要在要在CON端口接入一个0.01uf左右的滤波电容。但使用555定时器的时候输入的电压应该要大于5V,本次设计直接用信号源来做输入信号,并且信号源的振幅为10V,没有用放大电路将信号放大。 2 时基电路 时基电路时用来控制闸门信号选通的时间,由于本次设计的频率计测试范围是0到999KHz,故时基信号要有1ms 10ms 100ms 1s,基于上述,还需要一个分频器分出不同的频率。设计过程如下:可用一个多谐振电路产生频率为1KHz的脉冲信号(即T=1ms),然后使用分频器产生10ms 100ms 1s。 多谐振电路可以采用555定时器或者晶体振荡器来完成。本次设计采用555定时器实现,本次设计的精确度要求比较低,而且555定时器组成的多谐振荡起的最高振荡频率只能最多1MHz,而我们将用555定时器产生1Kz的频率,满足在该范围之内。分频器采用10分频,可用74LS90或者74LS160。 图2-1555定时器构成的多谐振振荡器 555多谐振振荡器设计参数:设计一个震荡周期为1ms,输出的占空比 2 3 q

数字频率计的设计

长安大学 电子技术课程设计 数字频率计的设计 专业: 班级: 姓名 指导教师: 日期:

目录 引言 第一章系统概述 一、设计方案的选择 1、计数法 2、计时法 二、整体框图及原理 第二章单元电路设计 一、放大电路设计 二、闸门电路设计 三、时基电路设计 四、控制电路设计 五、报警电路设计 六、整体电路图 七、整机元件清单 第三章设计小结 一、设计任务完成情况 二、问题及改进 三、心得体会 鸣谢 附录

引言 题目:数字频率计的设计 初始条件: 本设计可以使用在数模电理论课上学过或没学过的集成器件和必要的门电路构建简易频率计,用数码管显示频率计数值。 要求完成的主要任务: ①设计一个频率计。要求用4位7段数码管显示待测频率,并用发光二极管表示单位。 ②测量频率的范围:100hz—100khz。 ③测量信号类型:正弦波和方波。 ④具有超量程报警功能。 摘要: 本次课程设是基于TTL系列芯片的简易数字频率计,数字频率计应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于Multisim仿真软件。本课程设计介绍了简易频率计的设计方案及其基本原理,并着重介绍了频率计各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于测试设计是否符合任务要求。三是是对本次课程设计的总结。 关键字:频率计、TTL芯片、时基电路、逻辑控制、分频、计数、报警

第一章系统概述 一、设计方案的选择 信号的频率就是信号在单位时间内所产生的脉冲个数,其表达式为f=N/T,其中f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。计数器所记录的结果,就是被测信号的频率。如在1s内记录1000个脉冲,则被测信号的频率为1000HZ。测量频率的基本方法有两种:计数法和计时法,或称测频法和测周期法。 1、计数法 计数法是将被测信号通过一个定时闸门加到计数器进行计数的方法,如果闸门打开的时间为T,计数器得到的计数值为N1,则被测频率为f=N1/T。改变时间T,则可改变测量频率范围。如图(1-1-1) 计数值N1 被测信号 标准闸门 T 图 1-1-1 测频法测量原理 设在T期间,计数器的精确计数值应为N,根据计数器的计数特性可知,N1的绝对误差是N1=N+1,N1的相对误差为δN1=(N1-N)/N=1/N。由N1的相对误差可知,N的数值愈大,相对误差愈小,成反比关系。因此,在f以确定的条件下,为减少N的相对误差,可通过增大T的方法来降低测量误差。当T为某确定值时(通常取1s),则有f1=N1,而f=N,故有f1的相对误差:δf1=(f1-f)/f=1/f 从上式可知f1的相对误差与f成反比关系,即信号频率越高,误差越小;而信号频率越低,则测量误差越大。因此测频法适合用于对高频信号的测量,频率越高,测量精度也越高。

数电课程设计报告-数字频率计

数电课程设计报告:频率计 目录 一、设计指标 二、系统概述 1.设计思想 2.可行性论证 3.工作过程 三、单元电路设计及分析 1.器件选择 2.设计及工作原理分析 四、电路的组构及调试 1.遇到的问题 2.现象记录及原因分析 3.解决及结果 4.功能的测试方法、步骤、设备、记录的数据 五、总结 1.体会 2.电路总图 六、参考文献 一、设计指标 设计指标:要求设计一个测量TTL方波信号频率的数字系统。测试值采用4个LED七段数码管显示,并以发光二极管只是测量对象(频率)的单位:Hz、kHz。

频率的测量范围有四档量程。 1)测量结果显示四位有效数字,测量精度为万分之一。 2)频率测量范围:100.1Hz——999.9kHz,分为: 第一档: 100.0Hz——999.9Hz 第二档: 1.000kHz——9.999kHz 第三档: 10.00kHz——99.99kHz 第四档: 100.0kHz——999.9kHz 3)量程切换可以采用两个按键SWB、SWA手动切换。 扩展要求: 一、当被测频率大于999.9kHz,超出最大值时,设置亮一个警灯,并同时发出报警声音。 二、自动切换量程 提示: 1.计数器计到9999时,产生溢出信号CO,启动量程加档。 2.显示不足4位有效数字时量程减档。 三、各量程输出信号的频率最高位有效数字为1、2、3、4、5、6、7、8、9。 二、系统概述 1.设计思想 周期性信号频率可通过记录信号在1s内的周期数来确定其频率。

累计标准时间Ts中被测信号的脉冲个数Nx,被测信号频率:fx≈Nx/Ts 测量时间Ts选择:由于测量时间Ts需要根据被测信号的频率切换,所以通常对振荡时钟进行分频以获得不同的定时时间。 采样定时、显示锁存、计数器清零的控制时序波形图 2.可行性论证 用计数器实现记录周期数的功能;用时基信号产生计数时间作为采样时间;用四位动态扫描通过数码管显示结果;因为如果计数器直接把数据输入到数码管显示,那么数码管的数据就会不断变化,累计增加的情况,所以采用锁存器,在每个时间信号内,通过一个高电平使能有效,将计数器的数值锁存到寄存器或者锁存器;为了不要让每次锁存的数据会比上次

南京邮电大学课程设计报告-简易数字频率计

目录 第一章技术指标 整体功能要求 系统结构要求 电气指标 扩展指标 设计条件 第二章整体方案设计 算法设计 整体方框图及原理 第三章单元电路设计 时基电路设计 闸门电路设计 控制电路设计 小数点显示电路设计 整体电路图 整机原件清单 第四章测试与调整 时基电路的调测 显示电路的调测 4-3 计数电路的调测 控制电路的调测 整体指标测试 第五章设计小结 设计任务完成情况 问题及改进 心得体会 第一章技术指标

1.整体功能要求 频率计主要用于测量正弦波、矩形波、三角波和尖脉冲等周期信号的频率值。其扩展功能可以测量信号的周期和脉冲宽度。 2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量,档位转换用于选择测试的项目------频率、周期或脉宽,若测量频率则进一步选择档位。 3.电气指标 被测信号波形:正弦波、三角波和矩形波。 测量频率范围:分三档: 1Hz~999Hz ~ ~ 测量周期范围:1ms~1s。 测量脉宽范围:1ms~1s。 3.5测量精度:显示3位有效数字(要求分析1Hz、1kHz和999kHz的测量误 差)。 当被测信号的频率超出测量范围时,报警. 4.扩展指标 要求测量频率值时,1Hz~的精度均为+1。 5.设计条件 电源条件:+5V。 可供选择的元器件范围如下表

门电路、阻容件、发光二极管和转换开关等原件自定。 第二章 整体方案设计 算法设计 频率是周期信号每秒钟内所含的周期数值。可根据这一定义采用如图2-1所示的算法。图2-2是根据算法构建的方框图。 被测信号

电路用以计算被测输入信号的周期数),当1s闸门结束时,闸门再次关闭,此时计数器记录的周期个数为1s内被测信号的周期个数,即为被测信号的频率。测量频率的误差与闸门信号的精度直接相关,因此,为保证在1s内被测信号的周期量误差在10 3量级,则要求闸门信号的精度为10 量级。例如,当被测信号为1kHz时,在1s的闸门脉冲期间计数器将计数1000次,由于闸门脉冲精度为10 ,闸门信号的误差不大于,固由此造成的计数误差不会超过1,符合5*10 3的误差要求。进一步分析可知,当被测信号频率增高时,在闸门脉冲精度不变的情况下,计数器误差的绝对值会增大,但是相对误差仍在5*10 3范围内。 整体方框图及原理 输入电路:由于输入的信号可以是正弦波,三角波。而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。在整形之前由于不清楚被测信号的强弱的情况。所以在通过整形之前通过放大衰减处理。当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。当输入信号电压幅度较小时,前级输入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益,时被测信号得以放大。 频率测量:测量频率的原理框图如图2-3.测量频率共有3个档位。被测信号经整形后变为脉冲信号(矩形波或者方波),送入闸门电路,等待时基信号的到来。时基信号由RC振荡电路构成一个较稳定的多谐振荡器,经4093整形分频后,产生一个标准的时基信号,作为闸门开通的基准时间。被测信号通过闸门,作为计数器的时钟信号,计数器即开始记录时钟的个数,这样就达到了测量频率的目的。 周期测量:测量周期的原理框图2-4.测量周期的方法与测量频率的方法相反,即将被测信号经整形、二分频电路后转变为方波信号。方波信号中的脉冲宽度恰好为被测信号的1个周期。将方波的脉宽作为闸门导通的时间,在闸门导通的时间里,计数器记录标准时基信号通过闸门的重复周期个数。计数器累计的结果可以换算出被测信号的周期。用时间Tx来表示:Tx=NTs 式中:Tx为被测信号的周期;N为计数器脉冲计数值;Ts为时基信号周期。

数字频率计_课程设计报告

电气与信息工程学院 数字频率计 设计报告书 前言 摘要:在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的 测量就显得更为重要。测量频率的方法有多种,其中数字计 数器测量频率具有精度高、使用方便、测量迅速,以及便于 实现测量过程自动化等优点,是频率测量的重要手段之一。 其原理为通过测量一定闸门时间内信号的脉冲个数。本文阐 述了设计了一个简单的数字频率计的过程。 关键词:频率计,闸门,逻辑控制,计数-锁存

目录 第一章设计目的 第二章设计任务和设计要求 2.1 设计任务及基本要求 2.2.系统结构要求 第三章系统概述 3.1概述 3.2设计原理及方案 第四章单元电路设计及分析 4.1 时基电路 4.2逻辑控制电路 4.3计数电路 4.4锁存电路 4.5显示译码电路 4.6 闸门电路 第五章安装与调试过程 5.1 电路的安装过程 5.2 电路的调试过程 5.3 出现的问题及解决办法 第六章结果分析 第七章收获与体会

第八章元件清单 第九章实现结果实物图 附录A 参考文献 第一章 设计目的: 1.了解数字频率计测量频率与测量周期的基本原理; 2.熟练掌握数字频率计的设计与调试方法及减小测量误 差的方法。 3.本设计与制作项目可以进一步加深我们对数字电路应 用技术方面的了解与认识,进一步熟悉数字电路系统设计、制作与调试的方法和步骤。 4.针对电子线路课程要求,对我们进行实用型电子线路设 计、安装、调试等各环节的综合性训练,培养我们运用课程中所学的理论与实践紧密结合,独立地解决实际问题的能力。

第二章 设计任务及要求: 2.1设计任务及基本要求: 设计一简易数字频率计,其基本要求是: 1)测量频率范围0~9999Hz; 2)最大读数9999HZ,闸门信号的采样时间为1s;. 3)被测信号可以是正弦波、三角波和方波; 4)显示方式为4位十进制数显示; 5)完成全部设计后,可使用EWB进行仿真,检测试验设计电路的正确性。 2.2.系统结构要求 数字频率计的整体结构要求如图所示。图中被测信号为外部信号,送入测量电路进行处理、测量。 波形 整 形 计 数 器 数 码 显 示 振荡 电 路分 频 器 控 制 门 数 据 锁 存 器 显 示 译 码 器 被测 信 号

电子课程设计——数字频率计

2020/9/14 电子课程设计 ——数字频率计

目录 一 . 设计任务与要求 (2) 二 . 总体框图 (2) 2 . 1 题目分析及总体方案确定 (2) 三 . 选择器件 (4) 3 . 1 元件清单列表 (4) 3 . 2各元器件符号及逻辑功能 (5) 四 . 功能模块 (11) 4 . 1 整形电路 (11) 4 . 2 时基电路 (11) 4 . 3 逻辑控制电路 (12) 4 . 4 计数器、锁存器 (13) 4 . 5 译码显示电路 (15) 五 . 总体设计电路图 (15)

一 . 设计任务与要求 数字频率计是用来测量正弦信号、矩形信号、三角波等波形工作频率的仪器,其测量结果用十进制数字显示。具体要求如下: 1.测量频率范围:1Hz~10KHz; 2.数字显示位数:4位数字显示; 3.测量时间:t≤1.5s; 4.被测信号:方波、三角波、正弦波。 二 . 总体框图 2 . 1 题目分析及总体方案确定 频率的测量总的来说有三种方法:直接测量法、直接与间接测量相结合的方法和多周期同步测量法。直接测量法最简单,但测量误差最大;后两种方法测量精度高,但电路复杂。由于该题目没有对测量误差提出特别要求,为简单起见,采用直接测量法。 数字频率计就是直接用十进制的数字来显示被测信号频率。可以测的方波的频率,通过放大整形处理,它可还以测量正弦波、三角波和尖脉冲信号的频率。所谓频率就是在单位时间(1s)内周期信号的脉冲个数。若在一定时间间隔T内测得周期信号的脉冲个数N,则其频率为f=N Hz。 据此可得数字频率计的组成框图如图1—1(a)所示:

1-1(a) 图中的逻辑控制电路有两个作用:一是产生锁存脉冲,使显示器上的数字稳定;二是产生清零脉冲,使计数器每次测量从零开始计数。各信号之间的时序关系如图1-1(b)所示,图中信号由上而下依次是由放大整形电路得到的脉冲信号、时间基准信号、闸门电路输出、锁存脉冲和清零脉冲。

数字频率计的设计

数字频率计的设计 摘要:采用STC89C52RC单片机作为系统的核心控制器件,该系统采用直流供电,由信号输入模块、信号相加模块、滤波模块、信号比较器模块,电平转换模块组成,具有信号输入、测信号频率、测量矩形方波占空比的功能,并且具有测量精度高功耗低、抗干扰能力强等特点。

1 方案设计与比较

信号混合电路模块 方案一:同相加法器。加法器是一种数位电路,其可进行信号的加法计算。加法器是产生数的和的装置。加数和被加数为输入,和数与进位为输出的装置为半加器。若加数、被加数与低位的进位数为输入,而和数与进位为输出则为全加器。同相加法器输入阻抗高,输出阻抗低反相加法器输入阻抗低,输出阻抗高当选用同相加法器时,如A输入信号时,因为是同相加法器,输入阻抗高,这样信号不太容易流入加法器,反而更容易流入B端,而影响到B端的正常使用;同样,如B输入信号时,容易流入A端,而影响到A端的正常使用。 方案二:反相加法器。当选用反相加法器时,因为加法器输入阻抗低,不管是A端,还是B端信号,更容易流入加法器,而不会影响其它路的正常使用。 综上所述选择方案一。 滤波电路模块 方案一:选用有源二阶切比雪夫高通滤波器。切比雪夫滤波电路在通带或阻带上频率响应幅度等波纹波动的滤波器。切比雪夫滤波器在过渡带比巴特沃斯滤波器的衰减快,但频率响应的幅频特性不如后者平坦。切比雪夫滤波器和理想滤波器的频率响应曲线之间的误差最小,但是在通频带内存在幅度波动,有可能有纹波波动导致电压达到施密特触发器的上限或下限出发电平,导致误触发,输出方波可能严重失真。 方案二:选用有源二阶巴特沃斯高通滤波器。巴特沃斯滤波电路的幅频响应在通带中具有最平幅度特性没有起伏,而在阻频带则逐渐下降为零,由于巴特沃斯滤波电路的幅频响应曲线很平滑,没有起伏,可以有效规避施密特比较器中的误触发,所以选用幅频响应曲线最平滑的巴特沃斯型滤波器,可以有效规避误触发。 综上所述选择方案二。

简易数字频率计电路设计

简易数字频率计电路设计

摘要 请对内容进行简短的陈述,一般不超过300字 关键字:周期;频率;数码管,锁存器,计数器,中规模电路,定时器 在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。 数字频率计是用数字显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率、转速、声音的频率以及产品的计件等等。因此,数字频率计是一种应用很广泛的仪器。 本章要求设计一个简易的数字频率计,测量给定信号的频率,并用十进制数字显示。数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、数码管、时基电路、逻辑控制、译码显示电路几部分组成。

目录 前言 (1) 1.数字频率计的原理 (2) 2.系统框图 (3) 3.系统各功能单元电路设计 (3) 3.1 时基电路设计 (3) 3.2 放大整形电路 (4) 3.3 逻辑控制电路 (5) 3.4 锁存单元 (6) 3.5 分频电路 (7) 3.6 显示器 (7) 3.7 报警电路 (8) 4.系统总电路图 (10) 结束语 (11) 参考文献 (12)

前言 数字频率计是一种专门对被测信号频率进行测量的电子测量仪器。被测信号可以是正弦波、方波或其它周期性变化的信号。数字频率计主要由放大整形电路、闸门电路、计数器电路、锁存器、时基电路、逻辑控制、译码显示电路几部分组成。 在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速的跟踪捕捉到被测信号频率的变化。正是由于频率计能够快速准确的捕捉到被测信号频率的变化,因此,频率计拥有非常广泛的应用范围。 在传统的生产制造企业中,频率计被广泛的应用在产线的生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。 频率计被用来对各种电子测量设备的本地振荡器进行校准。在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。

数字频率计课程设计报告

《数字频率计》技术报告 一、问题的提出 在传统的电子测量仪器中,示波器在进行频率测量时测量精度较低,误差较大。频谱仪可以准确的测量频率并显示被测信号的频谱,但测量速度较慢,无法实时快速地跟踪捕捉到被测信号频率的变化。而频率计则能够快速准确的捕捉到被测信号频率的变化。 在传统的生产制造企业中,频率计被广泛的应用在生产测试中。频率计能够快速的捕捉到晶体振荡器输出频率的变化,用户通过使用频率计能够迅速的发现有故障的晶振产品,确保产品质量。在计量实验室中,频率计被用来对各种电子测量设备的本地振荡器进行校准。在无线通讯测试中,频率计既可以被用来对无线通讯基站的主时钟进行校准,还可以被用来对无线电台的跳频信号和频率调制信号进行分析。 数字频率计是一种用数字显示的频率测量仪表,它不仅可以测量正弦信号、方波信号和尖脉冲信号的频率,而且还能对其他多种物理量的变化频率进行测量,诸如机械振动次数,物体转动速度,明暗变化的闪光次数,单位时间里经过传送带的产品数量等等,这些物理量的变化情况可以由有关传感器先转变成周期变化的信号,然后用数字频率计测量单位时间内变化次数,再用数码显示出来。 二、解决技术问题及指标要求 1、技术指标

被测信号:正弦波、方波或其他连续信号; 采样时间:1秒(0.1秒、10秒); 显示时间:1秒(2秒、3秒......); LED显示; 灵敏度:100mV; 测量误差:±1H z。 数字频率计是一种专门对被测信号频率进行测量的电子测量仪器。其最基本的工作原理为:当被测信号在特定时间段T内的周期个数为N时,则被测信号的频率f=N/T。一般T=1s,所以应要求定时器尽量输出为1s的稳定脉冲。 2、设计要求 可靠性:系统准确可靠。 稳定性:灵敏度不受环境影响。 经济性:成本低。 重复性:尽量减少电路的调试点。 低功耗:功率小,持续时间长。 三、方案可行性分析(方案结构框图) 1、原理框图

数字频率计课程设计

课程设计任务书 学生姓名:覃朝光 ___________ 专业班级:通信1103 __________ 指导教师: ___________ 工作单位:信息工程学院 题目:数字频率计的设计与实现 初始条件: 本设il?既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形 电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率汁数值。 要求完成的主要任务:(包括课程设讣工作量及技术要求,以及说明书撰写等具体要求)仁课程设计工作量:1周。 2、技术要求: 1)设计一个频率讣。要求用4位7段数码管显示待测频率,格式为0000Hz. 2)测量频率范围:10~9999HZo 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V° 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设讼分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全 文用A4纸打印,图纸应符合绘图规范。 时间安排: 仁2013年5月17日,布宜课设具体实施计划与课程设计报告格式的要求说明。 2、2013年6月18日至2013年6月22日,方案选择和电路设计。 3、2013 年6月22日至2013 年7月1日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日 word

武汉理匸大学$数字电子电路》课程设讣说明书 目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (4) 1.1.3方案三:利用定时电路与计数器制作频率计 (5) 1.1.4方案确定 (6) 1.2原理及技术指标 (6) 1.3单元电路设计及参数计算 (8) 1.3.1时基电路 (8) 1.3.2放大整形电路 (9) 1.3.3逻辑控制电路 (9) 1.3.4计数器 (11) 1.3.5锁存器 (12) 1.3.6译码电路 (13) 2仿真结果及分析 (13) 2.1仿其总图 (13) 2.2单个元电路仿真图 (14) 2.3测试结果 (16) 3测试的数据和理论计算的比较分析 (16) 4制作与调试中出现的故障、原因及排除方法 (16) 4.1故障a (17) 4.2故障b (17) 4.3故障c (17) 4.4故障d (17) 4.5故障e (18) 5心得体会 (18) 2

multisim简易数字频率计

哈尔滨工业大学 简易频率计的仿真设计

目录 1设计要求 2?总电路图及工作原理 3?电路组成介绍 3.1脉冲形成电路 3.2闸门电路 3.3时基电路 3.4计数译码显示电路 4.电路的测试 5.分析与评价 附录:元器件清单

1 ?设计要求 本次设计任务是要求设计一个简易的数字频率计,即用数字显示被测信号频率的仪

器,数字频率计的设计指标有: 1. 测量信号:正弦信号、方波信号等周期变化的物理信号; 2. 测量频率范围:0Hz~9999Hz 3. 显示方式:4位十进制数显示。 2. 电路工作原理 频率计总电路图如下所示: 2単汙汕驚工 ---------- k

频率计的基本原理:通过将被测周期信号整形为同频率的方波信号后,利用555定时器组成的振荡电路所产生的频率为1Hz的标准方波,作为基准时钟,与被整形后的方波信号一起经过闸门电路处理输入计数电路,再利用74LS90N的十进制计数功能进行级联计数,计数后输入8位数据/地址锁存器74LS273N以实现锁存和清零功能,最后输入到译码显示电路中,用BCD7段译码器显示出来,这样就实现了对被测周期信号的频率测量并显示的功能。 频率计的工作原理流程图如下所示: 3. 电路组成介绍 3.1脉冲形成电路 脉冲形成电路由信号发生器与整形电路组成,输入信号先经过限幅器,再经过施密特 触发器整形,当输入信号幅度较小时,限幅器的二极管均截止,不起限副作用。由555组成的施密特触发器对经过限幅器的信号进行整形得到标准的方波信号。线路图如下所示:

L 1 1 r 1 r 闸门电路 3.2闸门电路 闸门电路的作用是控制计数器的输入脉冲,在电路中用一个与非门来实现(如下图所 标注)。当标准信号(正脉冲)来到时闸门开通,被侧信号的脉冲通过闸门进入计时器计 数;正脉冲结束时闸门关闭,计数器无时钟脉冲输入 72 R2 VA - IS. THZ R 71 C5 lOnF ZFG ■ 丄 D1 X1 N?07 75 D2 jtl NdOOT

简易数字频率计课程设计

简易数字频率计课程设计 Prepared on 22 November 2020

简易频率计设计 摘要 在数字电路中,数字频率计属于时序电路,它主要由具有记忆功能的触发器构成。在计算机及各种数字仪表中,都得到了广泛的应用。在CMOS电路系列产品中,数字频率计是用量最大、品种很多的产品,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,并且与许多电参量的测量方案、测量结果都有十分密切的关系,在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。常用的频率测量方法有测频法、测周法、测周期/频率法、F/V与A/D法。本文阐述了用测频法构成的数字频率计 关键字:时序控制频率,数字频率计,555电路 目录

1绪论 课题描述 频率是周期信号每秒钟内所含的周期数值。输入电路:由于输入的信号可以是正弦波,方波。而后面的闸门或计数电路要求被测信号为矩形波,所以需要设计一个整形电路则在测量的时候,首先通过整形电路将正弦波或者三角波转化成矩形波。在整形之前由于不清楚被测信号的强弱的情况。所以在通过整形之前通过放大衰减处理。当输入信号电压幅度较大时,通过输入衰减电路将电压幅度降低。当输入信号电压幅度较小时,前级输入衰减为零时若不能驱动后面的整形电路,则调节输入放大的增益,时被测信号得以放大。通过时基电路及控制电路锁存器将最终频率稳定的显示在数码管上[1]。 设计任务与要求 1.频率测量范围:10~9999Hz; 2.输入电压幅度>300mV; 3.输入信号波形:任意周期信号; 4.显示位数:4 位; 5.电源: 220V 、 50Hz; 6.对所设计电路进行仿真分析。 7.编写设计报告,写出设计与制作的全过程,附上有关资料和图纸,有心得体会。 基本工作原理及框图 建议频率计电路框图如图1所示。

数字频率计的设计与实现课程设计

课程设计任务书 学生:专业班级:通信 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《理工大学课程设计工作规》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (6) 1.1.4方案确定 (7) 1.2 原理及技术指标 (8) 1.3 单元电路设计及参数计算 (9) 1.3.1时基电路 (9) 1.3.2放大整形电路 (10) 1.3.3逻辑控制电路 (11) 1.3.4计数器 (13) 1.3.5锁存器 (15) 1.3.6译码电路 (16) 2仿真结果及分析 (16) 2.1仿真总图 (16) 2.2单个元电路仿真图 (17) 2.3测试结果 (20) 3测试的数据和理论计算的比较分析 (20) 4制作与调试中出现的故障、原因及排除方法 (20) 4.1故障a (20) 4.2故障b (21) 4.3故障c (21) 4.4故障d (21) 4.5故障e (22) 5 心得体会 (22)

数字频率计课程设计

课程设计任务书 学生姓名:覃朝光专业班级:通信1103 指导教师:工作单位:信息工程学院 题目: 数字频率计的设计与实现 初始条件: 本设计既可以使用集成脉冲发生器、计数器、译码器、单稳态触发器、锁存器、放大器、整形电路和必要的门电路等,也可以使用单片机系统构建简易频率计。用数码管显示频率计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个频率计。要求用4位7段数码管显示待测频率,格式为0000Hz。 2)测量频率范围:10~9999Hz。 3)测量信号类型:正弦波、方波和三角波。 4)测量信号幅值:0.5~5V。 5)设计的脉冲信号发生器,以此产生闸门信号,闸门信号宽度为1s。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 6 月18 日至2013 年6 月22 日,方案选择和电路设计。 3、2013 年6 月22 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月5日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) 1电路的设计思路与原理 (4) 1.1电路设计方案的选择 (4) 1.1.1方案一:利用单片机制作频率计 (4) 1.1.2方案二:利用锁存器与计数器制作频率计 (5) 1.1.3方案三:利用定时电路与计数器制作频率计 (5) 1.1.4方案确定 (6) 1.2 原理及技术指标 (6) 1.3 单元电路设计及参数计算 (8) 1.3.1时基电路 (8) 1.3.2放大整形电路 (9) 1.3.3逻辑控制电路 (9) 1.3.4计数器 (11) 1.3.5锁存器 (12) 1.3.6译码电路 (13) 2仿真结果及分析 (13) 2.1仿真总图 (13) 2.2单个元电路仿真图 (14) 2.3测试结果 (17) 3测试的数据和理论计算的比较分析 (17) 4制作与调试中出现的故障、原因及排除方法 (17) 4.1故障a (17) 4.2故障b (18) 4.3故障c (18) 4.4故障d (18) 4.5故障e (18) 5 心得体会 (19)

数字频率计电路

第二章电路的总体设计方案 2.1方案论证与选择 2.1.1方案的提出 方案一 电路整体框架如图一所示。被测信号经过放大,整形电路将其转换成同频率的脉动信号,送入计数器进行计数,闸门的一个输入信号是秒脉冲发出的标准脉冲信号,秒脉冲信号源含有个高稳定的石英振荡器和一个多级分频器共同决定,其时间是相当精确的,计数器显示电路采用七段共阴极LED数码管。 图2-1 方案一框架图 方案二: 本方案采用单片机程序处理输入信号并且将结果直接送往LED显示,为了提高系统的稳定性,输入信号前进行放大整形,在通过A/D转换器输入单片机系统,采用这种方法可大大提高测试频率的精度和灵活性,并且能极大的减少外部干扰,采用VDHL编程设计实现的数字频率计,除被测信号的整形部分、键输入部分和数码显示部分以外,其余全部在一片FPGA芯片上实现,整个系统非常精简,而且具有灵活的现场可更改性。但采用这种方案相对设计复杂度将会大大提高并且采用单片机系统成本也会大大提高。

图2-2 方案二框架图 方案三: 采用频率计专用模块,即大规模集成电路将计数器、锁存器、译码、位和段驱动,量程及小数点选择等电路集成在一块芯片中,该方案在技术上是可行的,可以简化电路的设计,当对于设计要求中的某些指标,采用专用模块来完成比较困难,即扩展极为不便。 图2-3 方案三框架图 2.1.2方案的的比较 方案一:具有设计复杂度小、电路简洁、功能实用且成本低廉等特点,其稳

定性较好基本能满足设计要求。 方案二:采用单片机处理能较高要求,但成本提高且设计复杂,虽然可以达到很高的精度要求,但是,VHDL编程语言是我们在学习过程中没有接触过的,短期内也很难掌握并且熟练运用。 方案三:用专用频率计设计模块固然设计简单且稳定但系统可扩展性能较差。 2.1.3方案的选择 综合三种方案比较:我感觉方案一和我以前学的专业知识更接加近些,电路原理容易理解,所设计的数字频率计稳定性好,基本上能够满足设计要求,所以我采用第一种设计方案。 图2—5 数字频率计组成框图 在我的毕业设计中,数字频率计由信号输入电路、分频电路、放大整形电路、闸门电路、时基电路、逻辑控制电路、计数电路、锁存电路、译码显示电路,小

数字频率计的设计

& 长安大学 电子技术课程设计 数字频率计的设计 ) 专业: 班级: 姓名 指导教师: : 日期:

目录 引言 第一章系统概述 一、设计方案的选择 ¥ 1、计数法 2、计时法 二、整体框图及原理 第二章单元电路设计 一、放大电路设计 二、闸门电路设计 三、时基电路设计 四、控制电路设计 | 五、报警电路设计 六、整体电路图 七、整机元件清单 第三章设计小结 一、设计任务完成情况 二、问题及改进 三、心得体会 鸣谢 " 附录

} 引言 题目:数字频率计的设计 初始条件: 本设计可以使用在数模电理论课上学过或没学过的集成器件和必要的门电路构建简易频率计,用数码管显示频率计数值。 要求完成的主要任务: ①设计一个频率计。要求用4位7段数码管显示待测频率,并用发光二极管表示单位。 ②测量频率的范围:100hz—100khz。 《 ③测量信号类型:正弦波和方波。 ④具有超量程报警功能。 摘要: 本次课程设是基于TTL系列芯片的简易数字频率计,数字频率计应用所学的数字电路和模拟电路的知识进行设计。在设计过程中,所有电路仿真均基于Multisim仿真软件。本课程设计介绍了简易频率计的设计方案及其基本原理,并着重介绍了频率计各单元电路的设计思路,原理及仿真,整体电路的的工作原理,控制器件的工作情况。设计共有三大组成部分:一是原理电路的设计,本部分详细讲解了电路的理论实现,是关键部分;二是性能测试,这部分用于测试设计是否符合任务要求。三是是对本次课程设计的总结。 关键字:频率计、TTL芯片、时基电路、逻辑控制、分频、计数、报警

… 第一章系统概述 一、设计方案的选择 信号的频率就是信号在单位时间内所产生的脉冲个数,其表达式为f=N/T,其中f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。计数器所记录的结果,就是被测信号的频率。如在1s内记录1000个脉冲,则被测信号的频率为1000HZ。测量频率的基本方法有两种:计数法和计时法,或称测频法和测周期法。 、 1、计数法 计数法是将被测信号通过一个定时闸门加到计数器进行计数的方法,如果闸门打开的时间为T,计数器得到的计数值为N1,则被测频率为f=N1/T。改变时间T,则可改变测量频率范围。如图(1-1-1)

简单数字频率计的设计与制作

简单数字频率计的设计与制作 1结构设计与方案选择 1.1设计要求 (1)要求用直接测量法测量输入信号的频率 (2)输入信号的频率为1~9999HZ 1.2设计原理及方案 数字频率计是直接用十进制的数字来显示被测信号频率的一种测量装置。它不仅可以测量正弦波、方波、三角波和尖脉冲信号的频率,而且还可以测量它们的周期。 所谓频率就是在单位时间(1s)内周期信号的变化次数。若在一定时间间隔T内测得周期信号的重复变化次数为N,则其频率为f=N/T(1-1)据此,设计方案框图如图1所示: 图1 数字频率计组成框图 图中脉冲形成的电路的作用是将被测信号变成脉冲信号,其重复频率等于被测信号的频率f 。时间基准信号发生器提供标准的时间脉冲信号,若其周期为X 1s,则们控电路的输出信号持续时间亦准确的等于1s。闸门电路由标准秒信号进行控制当秒信号来到时,闸门开通,被测脉冲信号通过闸门送到计数器译码显示电路。秒信号结束时闸门关闭,技计数器得的脉冲数N是在1秒时间内的累计 = N Hz。 数,所以被测频率f X 被测信号f 经整形电路变成计数器所要求的脉冲信号○1,其频率与被测信X 号的频率相同。时基电路提供标准时间基准信号○2,其高电平持续时间t1=1 秒,当l秒信号来到时,闸门开通,被测脉冲信号通过闸门,计数器开始计数,直到l秒信号结束时闸门关闭,停止计数。若在闸门时间1s内计数器计得的脉冲个

数为N,则被测信号频率f=NHz,如图2(a)所示,即为数字频率计的组成框图。 图2(a)数字频率计的组成框图 图2(b)数字频率计的工作时序波形 逻辑控制单元的作用有两个: 其一,产生清零脉冲④,使计数器每次从零开始计数; 其二,产生所存信号⑤,是显示器上的数字稳定不变。这些信号之间的时序关系如图2(b)所示数字频率计由脉冲形成电路、时基电路、闸门电路、计数锁存和清零电路、译码显示电路组成。

相关文档
最新文档