数字电子——考试题库及答案

数字电子——考试题库及答案
数字电子——考试题库及答案

触发器有两个互补的输出,且输出不仅与输入有关,还和电路原状态:收藏

A.

无关

B.

无法确定

C.

有关

回答错误!正确答案: C

单稳态触发器可以用于:

收藏

A.

定时

B.

编码

C.

译码

回答错误!正确答案: A

存储矩阵由许多存储单元组成。每个存储单元可存放()位二进制数。收藏

A.

1

B.

4

C.

2

回答错误!正确答案: A

n个逻辑变量,共有()个最小项。

收藏

A.

2的n次方

B.

n

C.

2n

回答错误!正确答案: A

二进制计数器每经一级触发器,输出脉冲的频率:收藏

A.

降低一倍

B.

不会改变

C.

增大一倍

回答错误!正确答案: A

()电路,具有回差,抗干扰强。

收藏

A.

单稳态触发器

B.

多谐振荡器

C.

施密特触发器

回答错误!正确答案:C

()电路可用于幅度的鉴别。

收藏

A.

施密特触发器

B.

单稳态触发器

C.

多谐振荡器

回答错误!正确答案: A

D/A转换器是可以实现()转换的电路。收藏

A.

数字信号向模拟信号

B.

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电子技术期末复习题库及答案完整版

数字电子技术期末复习 题库及答案 HEN system office room 【HEN16H-HENS2AHENS8Q8-HENH1688】

第1单元能力训练检测题 一、填空题 1、由二值变量所构成的因果关系称为逻辑关系。能够反映和处理逻辑 关系的数学工具称为逻辑代数。 2、在正逻辑的约定下,“1”表示高电平,“0”表示低电平。 3、数字电路中,输入信号和输出信号之间的关系是逻辑关系,所以数字电路也称为逻辑电路。在逻辑关系中,最基本的关系是与逻辑、或逻辑和 非逻辑。 4、用来表示各种计数制数码个数的数称为基数,同一数码在不同数位所代表的 权不同。十进制计数各位的基数是10,位权是10的幂。 5、8421 BCD码和2421码是有权码;余3码和格雷码是无权码。 6、进位计数制是表示数值大小的各种方法的统称。一般都是按照进位方式来实现计数的,简称为数制。任意进制数转换为十进制数时,均采用按位权展开求和的方法。 7、十进制整数转换成二进制时采用除2取余法;十进制小数转换成二进制时采用 乘2取整法。 8、十进制数转换为八进制和十六进制时,应先转换成二进制,然后再根据转换 的二进数,按照三个数码一组转换成八进制;按四个数码一组转换成十六进制。 9、逻辑代数的基本定律有交换律、结合律、分配律、反演律和 非非律。 10、最简与或表达式是指在表达式中与项中的变量最少,且或项也最少。 13、卡诺图是将代表最小项的小方格按相邻原则排列而构成的方块图。卡诺图的画图规则:任意两个几何位置相邻的最小项之间,只允许一位变量的取值不同。 14、在化简的过程中,约束项可以根据需要看作1或0。 二、判断正误题 1、奇偶校验码是最基本的检错码,用来使用PCM方法传送讯号时避免出错。(对) 2、异或函数与同或函数在逻辑上互为反函数。 (对) 3、8421BCD码、2421BCD码和余3码都属于有权码。 (错) 4、二进制计数中各位的基是2,不同数位的权是2的幂。 (对)

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术试题及答案题库

《 数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

数字逻辑考题及答案解析

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分

数字电子技术试题库

数 字 电 子 技 术 2011年7月23日星期六

1 1 : 对于JK触发器,输入J=0,K=1,CLK脉冲作用后,触发器的次态应为()。 (2分) A:0 B:1 C:Q' D:不确定 您选择的答案: 正确答案: A 知识点:JK触发器的特性为:J=1, K=1时,Q状态为翻转,即Q= Q’ -------------------------------------------------------------------- 2 : 已知Y=A+AB′+A′B,下列结果中正确的是() (2分) A:Y=A B:Y=B C:Y=A+B D:Y=A′+B′ 您选择的答案: 正确答案: C 知识点:利用公式A+AB′=A和A+A′B=A+B进行化简 -------------------------------------------------------------------- 3 : (1001111)2的等值十进制数是() (2分) A:97 B:15.14 C:83 D:79 您选择的答案: 正确答案: D 知识点:把二进制数转换为等值的十进制数,只需将二进制数按多项式展开,然后把所有各项的数值按十进制数相加。 -------------------------------------------------------------------- 4 : 图中为CMOS门电路,其输出为()状态 (2分) A:高电平 B:低电平 C:高阻态 D:不确定 您选择的答案: 正确答案: A 知识点:对于CMOS门电路,输入端接负载时,输入电平不变 -------------------------------------------------------------------- 5 : 四选一数据选择器的数据输出Y与数据输入Di和地址码Ai之间的逻辑表达式为Y=() (2分) A:A1′A0′D0+ A1′A0D1+ A1A0′D2+ A1A0D3 B:A1′A0′D0

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术试题和答案(题库)

数字电子技术基础试题(一)一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设图1中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 图 1 2.下列几种TTL电路中,输出端可实现线和功能的电路是()。 A、或非门 B、和非门 C、异或门 D、OC门 3.对CMOS和非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。图2 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。图2 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如图 3所示,则该电路为()。 图3 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 D、10位D/A转换器

数字电路试题及答案后面附带1doc

数字电路模拟题(答案附后) 注:此为上次考试模拟卷和答案,与本次模拟卷题目相同,但顺序不同,以此卷做参考。 一、 1、数制转换(其中B表示二进制,D表示十进制,H表示十六进制) (1)(10110)B=( )D (2)(0.1011)B=( )D (3)(3B)H=( )D (4) (0.35) H=()D (5) (0.34)D=()H=( )B (6) (1011.101) B=( )D (7) (3F) H =( )D (8) (0.8125) D=( )B (9) (173) D=( )H (10) (0101.0110)B=( )D (11) (8FA.C6)=( )B (12) (0.35 )H = ( )D (13) (73)D =( )H 2、利用逻辑代数的基本公式和常用公式化减下列各式 3、指出下列存储系统各具有多少个存储单元,至少需要几根地址线和数据线? 4、设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少? 二、如图所示为由NMOS管构成的逻辑电路。试写出其逻辑表达式并说明它是什么逻辑电路? 三、双互补对与反相器引出端如图所示,试连接成3输入端或非门。

四、试分析如图所示逻辑电路的功能,写出逻辑表达式和真值表。 1、 2、 3、 五、1、试用三个3输入端与门,一个或门和非门实现语句“A>B”,A和B均为两位二进制数。 2、试用三个3输入端与门,一个或门和数个非门实现语句“A>B”,A和B均为两位二进制数。 3、分别写出同步RS、T触发器的特性表和特性方程。 4、用2输入与非门和反相器设计一个三位的奇偶校验器,即当3位数中有奇数个1时输出为1,否则输出为0。 六、电路如图所示,写出驱动方程、状态方程、列出状态表、画出状态图,并确定逻辑功能。 1、

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电子技术练习题及答案

数字电子技术练习题及答案 一、填空题 1、(238)10=( )2 =( EE )16。(110110.01)2=( 36.4 )16=( 54.25 )10。 2、德?摩根定理表示为 B A +=( B A ? ) , B A ?=( B A + )。 3、数字信号只有( 两 )种取值,分别表示为( 0 )和( 1 )。 4、异或门电路的表达式是( B A B A B A +=⊕ );同或门的表达式是( B A AB B A ?+=⊙ ) 。 5、组成逻辑函数的基本单元是( 最小项 )。 6、与最小项C AB 相邻的最小项有( C B A )、( C B A ? ) 和 ( ABC ) 。 7、基本逻辑门有( 与门 )、( 或门 )和( 非门 )三种。复合门有( 与非门 )、( 或非门 )、( 与或非门 )和( 异或门 )等。 8、 9、 10、最简与或式的定义是乘积项的( 个数最少 ),每个乘积项中相乘的( 变量个数也最少)的与或表达式。 11、在正逻辑的约定下,“1”表示( 高电平 ),“0”表示( 低电平 )。在负逻辑的约定下,“1”表示( 低电平 ),“0”表示( 高电平 )。 12、一般TTL 门电路输出端( 不能 )直接相连,实现线与。(填写“能”或“不能”) 13、三态门的三种可能的输出状态是( 高电平 )、( 低电平 )和( 高阻态 )。 14、实现基本和常用逻辑运算的(电子电路),称为逻辑门电路,简称门电路。 15、在TTL 三态门、OC 门、与非门、异或门和或非门电路中,能实现“线与”逻辑功能的门为(OC 门),能实现总线连接方式的的门为(三态门)。 16、T TL 与非门的多余输入端不能接( 低 )电平。 17、 18、真值表是将输入逻辑变量的( 所有可能取值 )与相应的( 输出变量函数值 )排列在一起而组成的表格。 19、组合逻辑电路是指任何时刻电路的稳定输出,仅仅只决定于(该时刻各个输入变量的取值)。 20、用文字、符号或者数码表示特定对象的过程叫做( 编码 )。把代码的特定含义翻译出来的过程叫( 译码 )。 在几个信号同时输入时,只对优先级别最高的进行编码叫做( 优先编码 )。 21、两个1位二进制数相加,叫做(半加器)。两个同位的加数和来自低位的进位三者相加,叫做(全加器)。 22、比较两个多位二进制数大小是否相等的逻辑电路,称为(数值比较器)。 23、半导体数码显示器的内部接法有两种形式:共(阳)极接法和共(阴)极接法。对于共阳接法的发光二极管数码显示器,应采用(低)电平驱动的七段显示译码器。 24、能够将( 1个 )输入数据,根据需要传送到( m 个 )输出端的任意一个输出端的电路,叫做数据分配器。 25、在多路传输过程中,能够根据需要将( 其中任意一路挑选出来 )的电路,叫做数据选择器,也称为多路选择器或多路开关。 26、触发器又称为双稳态电路,因为它具有( 两个 )稳定的状态。 27、根据逻辑功能不同,触发器可分为( RS 触发器 )、( D 触发器 )、( JK 触发器 )、( T 触发器 )和( T ’触发器 )等。根据逻辑结构不同,触发器可分为( 基本触发器 )、( 同步触发器 )和( 边沿触发器 )等。 28、JK 触发器在JK =00时,具有( 保持 )功能,JK =11时;具有( 翻转 )功能;JK =01时,具有( 置0 )功能;JK =10时,具有( 置1 )功能。 29、JK 触发器具有( 保持 )、( 置0 )、( 置1 )和( 翻转 )的逻辑功能。D 触发器具有( 置0 )和( 置1 )的逻辑功能。RS 触发器具有( 保持 )、( 置0 )和( 置1 )的逻辑功能。 T 触发器具有( 保持 )和( 翻转 )的逻辑功能。T ’触发器具有( 翻转 )的逻辑功能。 30、边沿触发器具有共同的动作特点,即触发器的次态仅取决于CP 信号( 上升沿或下降沿 )到来时刻输入的逻辑状态,而在这时刻之前或之后,输入信号的变化对触发器输出的状态没有影响。 31、基本RS 触发器的特性方程是( n n Q R S Q +=+1 );其约束条件是( 0=RS )。JK 触发器的特性方程是( n n n Q K Q J Q +=+1 );D 触发器的特性方程是( D Q n =+1 );T 触发器的特性方程是( n n n Q T Q T Q +=+1 ); T ’触发器的特性方程是( n n Q Q =+1 )。

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

相关文档
最新文档