集成电路设计实训

集成电路设计实训
集成电路设计实训

研究生课程开设申请表

开课院(系、所):集成电路学院

课程申请开设类型:新开√重开□更名□(请在□内打勾,下同)

一、课程介绍(含教学目标、教学要求等)(300字以内)

本课程将向学生提供集成电路设计的理论与实例相结合的培养训练,讲述包括电路设计与仿真、版图设计和验证以及寄生参数提取的完整全定制集成电路设计流程以及CADENCE与IC制造厂商的工艺库配合等内容。通过系统的理论学习与上机实践,学生可掌握集成电路设计流程以及各阶段所使用的工具,并能进行集成电路的设计工作。

掌握资料查询、文献检索及运用现代信息技术获取相关信息的基本方法;培养学生具有一定的设计,归纳、整理、分析设计结果,撰写论文,参与学术交流的能力。

指导学生学会如何利用现代的EDA工具设计集成电路,培养学生的工程设计意识,启发学生的创新思想。

全面了解集成电路设计、制造、封装、测试的完整芯片制成技术,提高综合运用微电子技术知识的能力和实践能力。

二、教学大纲(含章节目录):(可附页)

第一章cadence集成电路设计软件介绍

第二章偏置电路设计

第三章基本运放和高性能运放

第四章比较器、振荡器设计

第五章电源系统设计(LDO与DC-DC)

三、教学周历

四、主讲教师简介:

常昌远,男,1961年10月出生,2000年东南大学微电子专业博士毕业,现为东南大学副教授,硕士研究生导师。长期从事微电子和自动控制领域内的教学、科研和指导研究生工作。参加过国家自然科学基金重点项目的研究、并主持与IC设计企业合作的多项横向研究课题。近年来主要从事显示控制芯片和电源管理芯片DC-DC、LDO等产品的开发,在CMOS数字集成电路、模拟集成电路的分析、设计与研发、系统的建模和稳定性设计等方面积累了较丰富的实际工作经验。教学方面,主讲包括与研究方向有关的“半导体功率器件”,“自动控制原理”,CMOS模拟集成电路设计等课程。已在国内核心刊物上发表学术论文20余篇,获国家专利1项。目前在东南大学IC学院负责集成电路设计与MPW项目建

设等工作。

吴金,教授,男,65年3月出生,1997年和1001年分别获得东南大学微电子学工学博士和硕士学位。长期从事半导体器件、电路与系统方面的教学和科研工作。当前从事的主要研究领域为CMOS Analog模拟、数模混合集成电路技术开,在核心学术期刊和会议上发表论文50余篇。

五、任课教师信息(包括主讲教师):

Application Form For Opening Graduate Courses

School (Department/Institute):College of Integrated Circuits

Course Type: New Open □Reopen □Rename □(Please tick in □, the same below)

I.Course Introduction (including teaching goals and requirements) within 300 words:

This course will provide students with training that combinates the theory of integrated circuit design with examples, including circuits design and simulation, layout design and verification and parasitic extraction of a complete full-custom IC design flow, and how to use process library in Cadence and so on. At the conclusion of the course, the student should be able to successfully master IC design flow and EDA software, and design IC in an industrial environment.

Master data information, document retrieval and use of modern information technology to obtain information; students can design circuits, induce, collate, analyze results, write papers to participate in academic exchanges.

Advise students on the use of modern integrated circuit design EDA tools, develop students awareness of the engineering design, inspire students with the innovative ideas.

A comprehensive understanding of IC design, manufacturing, packaging, testing of the chip to improve the comprehensive use of microelectronic technology knowledge and practical ability.

II.Teaching Syllabus (including the content of chapters and sections. A sheet can be attached):

Chapter 1 Introduction of Cadence

Chapter 2 Design of Bias circuit

Chapter 3 basic Operational amplifiers and high performance Operational amplifiers

Chapter 4 Comparators and Oscillators design

Chapter 5 Power system design ( LDO and DC-DC)

III.Teaching Schedule:

Note: 1.Above one, two, and three items are used as teaching Syllabus in Chinese and announced on the Chinese website of Graduate School. The four and five items are preserved in Graduate School.

2. Course terms: Spring, Autumn , and Spring-Autumn term.

3. The teaching languages for courses: Chinese, English or Chinese-English.

4. Applicable range of discipline: public, first-class discipline, second-class discipline, and third-class

discipline.

5. Practice includes: experiment, investigation, research report, etc.

6. Teaching methods: lecture, seminar, practice, etc.

7. Examination for degree courses must be in paper.

8. Teaching material websites are those which have already been announced.

9. Brief introduction of chief lecturer should include: personal information (date of birth, gender, degree

achieved, professional title), research direction, teaching and research achievements. (within 100-500 words)

IV.Brief Introduction of Chief lecturer:

Changyuan Chang, male, was burn on October, 1961. He got the Doctor's degree of

Microelectronics in 2000 from Southeast University, and is associate professor and Supervisor of Master candidate now. He has long been engaged in teaching、studying in the field of microelectronics and automatic control. He has been involved in some project of National Natural Science Foundation and many joint projects with IC companies. In recent years, he mainly study the display control chip and power management chip, such as DC-DC and LDO, and accumulated many experience of practical work in CMOS digital and analog integrated circuit design, system modeling and stability studying. His main courses are Automatic Control Theory、CMOS Analog Circuit Design, and so on. He has published more than 20 papers in Domestic core publications and has a national patent. He is responsible for the project construction work in the School of IC now, such as IC design and MPW.

Prof. Wu Jin, male, was born in Mar.1965. He received the Ph. D and MS degrees in Microelectronics from Southeast University in 1997 and 1991. He was engaged in teaching, science research and supervision of graduate students in the area of device circuits and system in Southeast University. At present, he emphases his researching in CMOS Analog, Mix-Signal IC Design, and over 50 technical papers have been published in major academic journals and conferences.

V.Lecturer Information (include chief lecturer)

集成电路设计产业平台项目简介(完整版)

集成电路设计产业平台项目简介 集成电路设计产业平台项目简介 一、项目申报单位基本情况 **海恒投资控股集团公司作为国家级**经济技术开发区国有资产授权运营管理机构,截至目前总资产达130亿元。旗下拥有海恒股份、公用事业公司、丹霞地产、项目管理公司、明珠物业、香怡物业、索菲特明珠国际大酒店、迎宾馆、国际会展中心、康拜、西伟德、徽园、金源热电、金晶水务等近三十家全资、控股及参股公司,主要经营业务涉及房地产开发、基础设施建设、社区建设、酒店业、会展服务、物业管理、金融产业、旅游产业、环保产业、能源供应等多个领域。海恒集团立足开发区、服务开发区,发展开发区,现已成为开发区企业管理的平台、资本运作的平台、资金融通的平台和入区项目服务平台。 二、项目建设必要性和意义 在集成电路(IC)产业链中,集成电路制造是基础,而集成电路设计是龙头。IC设计是将系统、逻辑与性能的设计要求转化为具体的物理版图的过程,也是一个把产品从抽象的过程一步步具体化、直至最终物理实现的过程。 **是电子信息产业大省,但设计研发力量薄弱。设计研发是集成电路整体产业链条中的关键环节,高风险、高投入、高技术、高产值。其平台建设耗资巨大,一般企业无法自己承担,又缺乏高水平的公共研发平台,很多企业只好跑到**、**等地具备条件的软件园去搞

研发,或是干脆将这一核心业务外包给别的企业。这使得我省集成电路产业大而不强,进一步发展受到局限。 作为全国第三大家电制造基地,目前**市电冰箱、洗衣机占全国产量的20%以上,是全国家电产品种类、品牌集中度最高的地区之一。拥有自主知识产权的集成电路产品是提升整机企业核心竞争力的关键,随着系统级芯片的发展,IC设计研发生产将成为整机企业生存的一个最重要的支点。同时,**省汽车工业规模强劲增长,在全国汽车产业格局中占据着重要的位置。在产业集群化发展趋势的带动下,未来汽车电子产业基地即将形成,从而将有力带动对上游集成电路产品的需求增长。日益旺盛的市场需求将促使我省集成电路设计产业迅速发展。 平台遵循“政府主导、高端引领、公共服务、开放共享”的原则,面向全省转方式调结构、推进集成电路产业发展以及高端设计团队的需求,着眼集成电路领域前沿技术,高起点、高标准规划建设。在软件方面,配备当今最先进EDA设计软件,可以完成数字电路、模拟电路、数模混合等多个设计流程,既满足千万门级的设计需求,同时也可以完成十万门级以下的设计。在硬件方面,配备也非常先进。同时,还将配备业界主流产品的大学计划软件,帮助IC设计人员和在校学生快速提高设计能力和技术水平。 平台的建成,将有效降低IC设计企业的初创成本和经营风险,为集成电路创新团队提供公共设计平台、设计咨询、流程方法学、版图设计、MPW等专业化服务,同时在风险投资、市场开发、项目管理和人才培训等方面提供支持。今后,**IC平台将在技术支撑、人才培训、企业孵化、招商引资、产业聚集等方面开始发挥越来越重要的作用,

集成电路课程大纲

集成电路课程大纲 第一部分:行业情况介绍、投资特性、财务特征 一、集成电路产业的发展与动力 (一)集成电路产业细分 (二)集成电路市场 (三)我国集成电路市场需求 (四)我国集成电路产能提升 二、集成电路产业特征 (一)与宏观经济紧密相关 (二)集成电路产业周期性运行 (三)行业领先者优势 (四)依赖政策资金引导 三、集成电路产业的技术发展 (一)摩尔定律的延续 (二)晶圆 (三)封装技术 四、集成电路产业的投资特性 (一)高额投入保持技术领先 (二)市场竞争激烈,项目投产初期负债经营(三)多类型企业并存 (四)总投高,设备占比大 五、集成电路项目评估测算的建议

(一)技术分析环节 (二)、股东评价环节 (三)、竞争力分析环节 (四)、定量分析环节 第二部分:南京地区行业发展相关政策、发展现状、客户分类情况 (一)、南京地区集成电路产业发展的若干政策 战略目标:重点战略性新兴产业、全产业链布局、全国集成电路产业基地 研发能力达到国际领先水平 政策支持:人才、资金、产业服务平台建设、知识产权保护 (二)、发展现状 发展目标:江北新区打造中国芯片之城 目前继上海、北京之后位居全国第三。 目前发展情况:已经聚集上百家集成电路企业、 国内芯片设计十强中一半落户江北新区。 项目推进情况:台积电、紫光项目达产后,创造年产值200亿美元。 (三)、客户分类:世界行业巨头、国内行业领先企业、中小型产业链相关企业

第三部分目标客户营销指导意见:(一)营销重点进入类 (二)营销适度进入类 (三)营销谨慎进入类 (四)行业适用的金融产品 1、项目贷款 2、流动资金 3、贸易融资 4、投行类 5、供应链融资 6、结算类、现金管理 四、结合相关案例进行分析讨论。 台积电、紫光、中小企业研判

家芯片设计最有潜力的公司

中国最具潜力的20家芯片设计企业 专题特写:《国际电子商情》创刊二十周年系列报道 春华秋实:中国IC设计业走向可持续发展之路 ? 《国际电子商情》伴随着中国电子产业飞速发展已经走过了整整二十个不平凡的春秋,我们热切的目光也一路见证了中国IC设计业从孕育到成长,从星星之火到阵容壮大。今天,我们聚集在创刊20周年庆的舞台上,与20家中国最具代表性的IC设计公司一道,细数回顾饱含酸甜苦辣的发展历程,展现他们创立以来的丰硕成果和未来发展规划,分享业界志士们对产业环境变化的衷心感言。 诚然,中国IC产业在过去十几年取得了巨大的成就,IC设计企业已接近500家,2004年销售收入过亿元人民币的企业达到了16家之多。但是IC企业仍然有很长的路要走,一方面产品市场范围过窄,主要集中于电源管理、信号处理、视频编解码、玩具控制等几个方面,在相当一段时间里仍将提供替代性产品为主;另一方面,企业知识产权的建立与保护机制有待健全和加强。所幸的是,本土IC设计企业已然清醒认识到这些问题,正在向具有自主知识产权、自我良性循环成长的可持续发展之路迈进。 安凯开曼公司 这是一家创办于硅谷、根植于中国的芯片设计公司。成立4年多来,员工总数与设计人员大幅增长,推出多媒体应用处理器(AK3210M、AK3220M)、多媒体协处理器(A2、A6)两条产品主线,并提供多媒体手机、个人媒体播放器、无线监控、车载电话等完整解决方案。目前,安凯公司正与重庆重邮信科股份有限公司紧密合作,联合开发具有中国自主知识产权的TD-SCDMA基带处理器芯片。 安凯认为,现在中国IC设计产业的竞争如火如荼,对于本土的IC设计公司而言,想要在这样的竞争中生存和壮大,必须要在国际强手留下的生存空间中拿出有知识产权的特色产品,即注重芯片差异化特征的修炼。安凯的目标是成为全球一流的移动手持设备多媒体应用处理器的主要提供商。

《集成电路设计课程设计》课程教学大纲

《集成电路设计课程设计》课程教学大纲 CourseProjectforICDesign 课程编号:DZ240060适用专业:集成电路设计与集成系统 先修课程:学分数:2 总学时数:2周实验(上机)学时:2周 考核方式:系考 执笔者:孟李林编写日期:2010-07-2 一、课程性质和任务 本课程设计属于实践课程,主要针对集成电路设计与集成系统专业本科生,是重要的实践教学环节,应安排在第七学期后两周。通过本课程的实践学习,使学生巩固《数字集成电路设计》、《CMOS模拟集成电路设计》、《EDA技术实验》等课程所学知识,熟练掌握集成电路设计的流程,熟练使用集成电路设计流程中的相应EDA工具软件,使学生初步具有对集成电路设计的综合能力和实践能力。 二、课程教学内容和要求 课程设计要求学生根据指导教师布置的设计题目,使用EDA工具完成集成电路设计全部设计流程,包括:选题,需求分析,技术规范制订,详细方案设计,电路设计,设计功能仿真,电路综合,静态时序分析,版图设计等。 通过本课程的训练,使学生对集成电路设计流程有较完整和深入的认识和理解,能够熟练掌握和应用相关的EDA实现工具,培养学生初步的集成电路综合设计能力和较好的学习与实践能力。 第一章选题 由教师提供设计题目,学生自己选题,完成IC设计流程的实践学习 第二章需求分析、技术规范制订 对选题进行需求分析,提出合理的设计需求,制订相应的技术规范。 掌握功能的定义和特点取舍,掌握接口的划分和接口时序的制定。 第三章详细方案设计

熟悉设计方案编写格式。 针对所选题目,编写出详细设计方案。 第四章电路设计 熟练掌握HDL,针对设计需求,采用HDL进行电路设计。 第五章设计功能仿真 熟悉仿真工具的使用。 熟练应用EDA仿真工具进行设计功能仿真验证。 第六章电路综合 理解电路综合的概念。 理解Tcl语言,掌握综合约束脚本的写法。 熟悉电路综合工具,完成设计电路的综合。 第七章时序分析 理解静态时序分析中基本概念。 掌握PT工具的基本使用方法。 采用EDA仿真工具对所设计的电路进行时序仿真验证。 第八章版图设计 熟悉EDA版图设计工具。 采用EDA版图设计工具完成设计电路的版图设计,包括:布局(P&R)、参数提取、设计规格检查(DRC、ERC)、版图与网表的一致性检查(LVS)。 三、各教学环节的学时分配 本课程设计属于实践课程,教学环节集中安排在2周进行。为保证达到预计的教学目的,课程设计可以分组进行,以小组为单位分别进行资料的收集、方案论证、实验及改进。具体实践教学的学时分配如下表:

集成电路设计与集成系统

集成电路卓越计划实验班本科培养计划Undergraduate Experimental Program in IC Design and Integrated System 一、培养目标 Ⅰ.Program Objectives 培养具备坚实的集成电路与集成系统专业理论基础、工程实践能力和相关创业能力,创新意识、创业素质和综合能力强,具备多学科视野和国际竞争力的光电领域研究型高端工程技术人才。毕业生能在集成电路产业部门、研究院所、高等院校及其相关领域创造性地从事集成电路工程相关的研究、开发和管理等工作。 Aiming at preparing all-rounded, high-quality talents with international competence, this program will enable students to be solidly grounded in basic theory, wide-ranged in specialized knowledge, capable of practical work and particularly specialized in Integrated Circuit theories, methods and EDA tools, Integrated System and Information Processing. Our graduates will be capable of research, design and management in IC-related industrial sectors, research centers and colleges etc. 二、基本规格要求 Ⅱ.Learning Outcomes 毕业生应获得以下几个方面的知识和能力: 1.扎实的数理基础; 2.熟练掌握微电子学与固体电子学、半导体集成电路及嵌入式系统的基本理论和方法; 3.分析解决本学科领域内工程技术问题的能力; 4.了解本学科重大工程技术的发展动态和前沿; 5.外语应用能力强; 6.出色的文献检索、资料综述和撰写科技论文的能力; 7.较好的创业素质,较强的项目协调、组织能力; ·122·

基于fpga集成电路设计课程标准

《基于FPGA集成电路设计》课程标准 课程名称:基于FPGA集成电路设计 课程代码:建议课时数:64 学分:4 适用专业:微电子技术 1.前言 1.1课程定位 本课程属于微电子技术专业的专业核心课程,适用于高等职业院校微电子技术专业,是本专业的专业必修课。 使学生具有运用FPGA从事数字集成电路设计的基本能力,为从事该领域以及相关工作打下基础。 前续课程为《电子电路分析及应用》,只有具备扎实的数字电子技术基础才能理解数字IC系统的性能指标,才能通过利用简单的逻辑门或者触发器搭建子模块电路。 后续的开发主要是往SOC方向发展或者往大系统方向发展。在高速系统和大规模硬件系统设计时会出现一些在低速系统和小系统中不存在的问题,这都属于FPGA系统高级开发领域。 1.2设计思路 本课程是学习数字集成电路设计的核心课程,听取了大量企业专家的意见和建议,对于本课程对微电子专业学生的重要性以及必要性有了足够的认识。因而,将此课程设置为专业核心课程。 本课程是依据“2015年微电子技术专业工作任务与职业能力分析表”中的“数字集成电路设计”工作项目而设置的。其总体设计思路是,打破以知识传授为主要特征的传统学科课程模式,转变为以工作任务为中心组织课程容,让学生在完成具体项目过程中掌握核心技能,对重要的知识和技能点通过大量的项目完成来掌握,弱化非核心的知识点和技能。项目设计从易到难,从简到繁,逐步升级,先学习基础准备知识,然后学习编程方法,最后应用到FPGA开发板上开发实战。前一个项目是下一个项目的基础,下一个项目是前一个项目的延伸。共划分为三个大主要工作任务,分别是基础知识、VerilogHDL 语言和开发应用。 在教学容选取上,结合企业专家的意见以及本人在企业从事集成电路设计的经验,选取了注重实际设计和应用的用,减少理论教学容。对每个项目有明确的教学目标,不达目标不能算作项目的真正完成。改革和创新:为了提高教学效果,提高学生设计技能,结合教-学-

集成电路设计基础复习

1、解释基本概念:集成电路,集成度,特征尺寸 参考答案: A、集成电路(IC:integrated circuit)是指通过一系列特定的加工工艺,将晶体管、二极管等有源器件和电阻、电容等无源器件,按照一定的电路互连,“集成”在一块半导体晶片(如硅或砷化镓)上,封装在一个外壳内,执行特定电路或系统功能的集成块。 B、集成度是指在每个芯片中包含的元器件的数目。 C、特征尺寸是代表工艺光刻条件所能达到的最小栅长(L)尺寸。 2、写出下列英文缩写的全称:IC,MOS,VLSI,SOC,DRC,ERC,LVS,LPE 参考答案: IC:integrated circuit;MOS:metal oxide semiconductor;VLSI:very large scale integration;SOC:system on chip;DRC:design rule check;ERC:electrical rule check;LVS:layout versus schematic;LPE:layout parameter extraction 3、试述集成电路的几种主要分类方法 参考答案: 集成电路的分类方法大致有五种:器件结构类型、集成规模、使用的基片材料、电路功能以及应用领域。根据器件的结构类型,通常将其分为双极集成电路、MOS集成电路和Bi-MOS 集成电路。按集成规模可分为:小规模集成电路、中规模集成电路、大规模集成电路、超大规模集成电路、特大规模集成电路和巨大规模集成电路。按基片结构形式,可分为单片集成电路和混合集成电路两大类。按电路的功能将其分为数字集成电路、模拟集成电路和数模混合集成电路。按应用领域划分,集成电路又可分为标准通用集成电路和专用集成电路。 4、试述“自顶向下”集成电路设计步骤。 参考答案: “自顶向下”的设计步骤中,设计者首先需要进行行为设计以确定芯片的功能;其次进行结构设计;接着是把各子单元转换成逻辑图或电路图;最后将电路图转换成版图,并经各种验证后以标准版图数据格式输出。 5、比较标准单元法和门阵列法的差异。 参考答案:

集成电路培养方案.

西安邮电学院电子工程学院 本科集成电路设计与集成系统专业培养方案 学科:工学---电气信息专业:集成电路设计与集成系统(Engineering---Electric Information)(Integrated Circuit Design & Integrated System)专业代码:080615w 授予学位:工学学士 一、专业培养指导思想 遵循党和国家的教育方针,体现“两化融合”的时代精神,把握高等教育教学改革发展的规律与趋势,树立现代教育思想与观念,结合社会需求和学校实际,按照“打好基础、加强实践,拓宽专业、优化课程、提高能力”的原则,适应社会主义现代化建设和信息领域发展需要,德、智、体、美全面发展,具有良好的道德修养、科学文化素质、创新精神、敬业精神、社会责任感以及坚实的数理基础、外语能力和电子技术应用能力,系统地掌握专业领域的基本理论和基本知识,受到严格的科学实验训练和科学研究训练,能够在集成电路设计与集成系统领域,特别是通信专用集成电路与系统领域从事科学研究、产品开发、教学和管理等方面工作的高素质应用型人才。 二、专业培养目标 本专业学生的知识、能力、素质主要有:①较宽厚的自然科学理论基础知识、电路与系统的学科专业知识、必要的人文社会学科知识和良好的外语基础;②较强的集成电路设计和技术创新能力,具有通信、计算机、信号处理等相关学科领域的系统知识及其综合运用知识解决问题的能力;③较强的科学研究和工程实践能力,总结实践经验发现新知识的能力,掌握电子设计自动化(EDA)工具的应用;④掌握资料查询的基本方法和撰写科学论文的能力,了解本专业领域的理论前沿和发展动态;⑤良好的与人沟通和交流的能力,协同工作与组织能力;⑥良好的思想道德修养、职业素养、身心素质。毕业学生能够从事通信集成电路设计与集成系统的设计、开发、应用、教学和管理工作,成为具有奉献精神、创新意识和实践能力的高级应用型人才。 三、学制与学分 学制四年,毕业生应修最低学分198学分,其中必修课110学分,限选课36学分,任选课10学分,集中实践环节34学分,课外科技与实践活动8学分。

专用集成电路

实验一 EDA软件实验 一、实验目的: 1、掌握Xilinx ISE 9.2的VHDL输入方法、原理图文件输入和元件库的调用方法。 2、掌握Xilinx ISE 9.2软件元件的生成方法和调用方法、编译、功能仿真和时序仿真。 3、掌握Xilinx ISE 9.2原理图设计、管脚分配、综合与实现、数据流下载方法。 二、实验器材: 计算机、Quartus II软件或xilinx ISE 三、实验内容: 1、本实验以三线八线译码器(LS74138)为例,在Xilinx ISE 9.2软件平台上完成设计电 路的VHDL文本输入、语法检查、编译、仿真、管脚分配和编程下载等操作。下载芯片选择Xilinx公司的CoolRunner II系列XC2C256-7PQ208作为目标仿真芯片。 2、用1中所设计的的三线八线译码器(LS74138)生成一个LS74138元件,在Xilinx ISE 9.2软件原理图设计平台上完成LS74138元件的调用,用原理图的方法设计三线八线译 码器(LS74138),实现编译,仿真,管脚分配和编程下载等操作。 四、实验步骤: 1、三线八线译码器(LS 74138)VHDL电路设计 (1)三线八线译码器(LS74138)的VHDL源程序的输入 打开Xilinx ISE 6.2编程环境软件Project Navigator,执行“file”菜单中的【New Project】命令,为三线八线译码器(LS74138)建立设计项目。项目名称【Project Name】为“Shiyan”,工程建立路径为“C:\Xilinx\bin\Shiyan1”,其中“顶层模块类型(Top-Level Module Type)”为硬件描述语言(HDL),如图1所示。 图1 点击【下一步】,弹出【Select the Device and Design Flow for the Project】对话框,在该对话框内进行硬件芯片选择与工程设计工具配置过程。

电子线路与集成电路设计》专业课程考试大纲

复旦大学2007年入学研究生 《电子线路与集成电路设计》专业课程考试大纲 本复习大纲是为了便于考生对《电子线路与集成电路设计》课程进行复习而制定。大纲提供了一些参考书目录,考生可以根据自己的实际情况选择合适的参考书。 第一部分模拟电路 考试题型:问答题,分析计算题。 参考书:①童诗白等,模拟电子技术基础(第三版),高等教育出版社,2001年 ②谢嘉奎等,电子线路线性部分(第四版),高等教育出版社,1999年 ③蓝鸿翔,电子线路基础,人民教育出版社,1981年 总分:50分 一、电路分析(③的第一章或其他电路分析教材) 基本电路定律与定理: 掌握基尔霍夫电压与电流定律;等效电压源定律;等效电流源定律;叠加原理。 能够运用节点电压法求解线性电路网络。 线性电路的一般分析方法: 能够写出线性电路网络的传递函数。 了解稳态分析和瞬态分析的基本概念。 掌握线性网络幅频特性、相频特性的基本概念。 能够利用波特(Bode)图进行频率特性分析。 二、半导体器件(①或②) 了解PN结的结构与原理,掌握PN结的伏安特性。 掌握半导体二极管的特性曲线和特性参数及其基本应用:整流、限幅、钳位。 双极型晶体管: 了解双极型晶体管的结构和放大原理; 掌握双极型晶体管的伏安特性;晶体管的基本模型,掌握双极型晶体管的交流小信 号等效电路,并能计算其中的各个参数。 场效应晶体管: 掌握场效应晶体管的结构和工作原理,分清6种类型场效应管的区别; 掌握场效应晶体管的交流小信号等效电路,并能计算其中的各个参数。 三、基本放大电路(①或②) 放大电路的性能指标:

增益(放大倍数)、输入阻抗、输出阻抗,掌握它们的概念与计算方法。 晶体管共射放大电路: 分清直流通路与交流通路; 用近似估算法确定放大电路的直流工作点; 用小信号等效电路方法估算放大电路的性能指标:增益、输入阻抗、输出阻抗; 用图解法确定输出动态范围以及输出波形失真情况。 晶体管共基和共集放大电路: 了解上述两种电路的工作原理和电路特点; 能够简单估算上述两种放大电路的性能指标:增益、输入阻抗、输出阻抗; 熟悉三种接法的放大电路性能指标的异同,能够在不同场合正确选择合适的电路; 了解三种接法的放大电路在频率特性方面的异同。 场效应管共源放大电路: 能够根据场效应晶体管的伏安特性确定放大电路的直流工作点; 用小信号等效电路方法估算放大电路的性能指标。 差分放大电路: 熟悉差分放大电路的工作原理和电路特点; 掌握差分放大电路的性能指标估算方法。 互补输出电路: 熟悉互补输出电路的工作原理和电路特点; 了解互补输出电路中产生交越失真的原因以及消除方法。 多级放大电路: 掌握多级放大电路的增益、输入阻抗、输出阻抗的估算方法。 四、放大电路中的负反馈(①或②) 反馈的基本概念: 正确理解开环与闭环、正反馈与负反馈、直流反馈与交流反馈、电压反馈与电流反 馈、串联反馈与并联反馈等概念; 能够正确运用瞬时极性法判断反馈的极性。 负反馈放大电路的组态: 正确判断四种不同的负反馈组态; 掌握四种不同负反馈组态的电路特点以及对电路性能产生的各种影响的异同; 能够根据需要在电路中引入合适的反馈形式。 深度负反馈放大电路的分析: 掌握深度负反馈放大电路的计算方法。 负反馈放大电路的自激振荡及消除方法: 了解负反馈放大电路自激振荡产生的原因,了解消除振荡的方法。 五、集成运算放大器及其应用基础(①或②) 熟悉集成运算放大器的性能参数: 差模增益、共模增益、共模抑制比、输入失调、单位增益带宽、转换速率等。 基于集成运放构成的线性电路的基本分析方法: 2

集成电路设计基础

集成电路设计基础复习提纲 一EDA常用命令 ls 显示当前目录下的文件和路径。Pwd显示当前文件的绝对路径.。Cd进入指定目录。More显示文件内容。Cp拷贝。Mkdir创建目录。tar 打包。zip压缩。unzip解压。ftp传送文件。 二基本概念 1版图设计 CIW命令解释窗口, Library 库,Reference Library相关库, Library Path库路径,Cell单元,View视图,Techfiler.tf工艺文件, cds.lib库管理文件, techfile.cds ASCII 文件,LSW图层选择窗口,display.drf图层显示文件。LayerPurpose Pair层次用途配对,Cellview Attributes and Properties单元视图属性,Instance单元,Snap Mode 光标按钮画线条或图形的模型。Stream。数据流(一个标准数据格式用在cad系统间传递物理设计数据) parameterized cells,参数化单元。Flatten,打平 设计方法 1 CIC设计流程 ①设计规划。②建库。③原理图输入。④电路仿真。⑤单元模块版图。⑥TOP 版图。⑦验证。⑧输出GDSII。⑨制掩膜。⑩流片封装测试。 2CIC建库的步骤,工艺文件和显示文件的使用。 建库进入设计项目所在的文件夹,打开名利窗口输入icfb,在ciw菜单栏中选择file-creat-creat new library,选择要连接的Techfiler.tf或者选择相应库作为链接库,后根据指示完成余下的操作 工艺文件p1-40说明图层连接,等效连接,不可被重叠,自动布线,设计规则等情况 ciw-technology-file-dump ,design,layout definations,ascll 命名.Tf,ok;/techpurposes /techlayers;/techdisplays;/techlayerpurposepriorities(图层目的优先);:q!(保存退出):wq!(写后保存退出);/ptap File-load 显示文件的使用:在显示资源编辑窗口里编辑并保存(display。drf)长期有效 添加新包,先编辑显示文件再在显示资源编辑窗口里编辑其填充等;file—save;tools-display resources-mergefile;分配图层目的配对。 3单元版图绘图方法及编辑基本方法, 新建,根据设计要求选择图层用不同的绘图命令绘制和按参数编辑、连接,测试4绘图及编辑常用命令的使用: Create— Rectangle 。create-rectangle left点拉升点 Instance、create-instance(名字不可改)填写库cell view 坐标等 Path、create-path 1点2点+回车/双击 Pcell、edit-hierarchy(分层)-make cell 填写,画长方形区域,ok Polygon、create- Polygon(F3),选择图层,点,点等,回车 Conics create-arc,点,点,点回车

专用集成电路AD的设计

A/D转换器的设计 一.实验目的: (1)设计一个简单的LDO稳压电路 (2)掌握Cadence ic平台下进行ASIC设计的步骤; (3)了解专用集成电路及其发展,掌握其设计流程; 二.A/D转换器的原理: A/D转换器是用来通过一定的电路将模拟量转变为数字量。 模拟量可以是电压、电流等电信号,也可以是压力、温度、湿度、位移、声音等非电信号。但在A/D转换前,输入到A/D转换器的输入信号必须经各种传感器把各种物理量转换成电压信号。符号框图如下: 数字输出量 常用的几种A/D器为; (1):逐次比较型 逐次比较型AD由一个比较器和DA转换器通过逐次比较逻辑构成,从MSB 开始,顺序地对每一位将输入电压与内置DA转换器输出进行比较,经n次比较而输出数字值。其电路规模属于中等。其优点是速度较高、功耗低,在低分辩率(<12位)时价格便宜,但高精度(>12位)时价格很高。 (2): 积分型 积分型AD工作原理是将输入电压转换成时间(脉冲宽度信号)或频率(脉冲频率),然后由定时器/计数器获得数字值。其优点是用简单电路就能获得高分辨率,但缺点是由于转换精度依赖于积分时间,因此转换速率极低。初期的单片AD转换器大多采用积分型,现在逐次比较型已逐步成为主流。 (3):并行比较型/串并行比较型

并行比较型AD采用多个比较器,仅作一次比较而实行转换,又称FLash(快速)型。由于转换速率极高,n位的转换需要2n-1个比较器,因此电路规模也极大,价格也高,只适用于视频AD转换器等速度特别高的领域。 串并行比较型AD结构上介于并行型和逐次比较型之间,最典型的是由2个n/2位的并行型AD转换器配合DA转换器组成,用两次比较实行转换,所以称为Half flash(半快速)型。还有分成三步或多步实现AD转换的叫做分级型AD,而从转换时序角度又可称为流水线型AD,现代的分级型AD中还加入了对多次转换结果作数字运算而修正特性等功能。这类AD速度比逐次比较型高,电路规模比并行型小。 一.A/D转换器的技术指标: (1)分辨率,指数字量的变化,一个最小量时模拟信号的变化量,定义为满刻度与2^n的比值。分辨率又称精度,通常以数字信号的位数来表示。 (2)转换速率,是指完成一次从模拟转换到数字的AD转换所需的时间的倒数。积分型AD的转换时间是毫秒级属低速AD,逐次比较型AD是微秒级,属中速AD,全并行/串并行型AD可达到纳秒级。采样时间则是另外一个概念,是指两次转换的间隔。为了保证转换的正确完成,采样速率必须小于或等于转换速率。因此有人习惯上将转换速率在数值上等同于采样速率也是可以接受的。常用单位ksps 和Msps,表示每秒采样千/百万次。 (3)量化误差,由于AD的有限分辩率而引起的误差,即有限分辩率AD的阶梯状转移特性曲线与无限分辩率AD(理想AD)的转移特性曲线(直线)之间的最大偏差。通常是1 个或半个最小数字量的模拟变化量,表示为1LSB、1/2LSB。(4)偏移误差,输入信号为零时输出信号不为零的值,可外接电位器调至最小。(5)满刻度误差,满度输出时对应的输入信号与理想输入信号值之差。 (6)线性度,实际转换器的转移函数与理想直线的最大偏移,不包括以上三种误差。 三、实验步骤 此次实验的A/D转换器用的为逐次比较型,原理图如下:

集成电路设计公司管理系统

在集成电路设计行业,常用的管理系统是ERP系统,它主要是MRP(物料需求计划)延伸出来的新一代集成化管理信息系统,主要扩展了MRP的功能,它的核心思想就是供应链管理。 随着现代越来越商务智能化办公形式的发展,ERP系统已经脱离了传统企业的舒适圈,从供应链角度优化企业资源,同时优化了现代企业的运行模式,反映了市场对企业合理调配资源的要求。它对于改善企业业务流程、提高企业核心竞争力具有显着作用,同样也体现在SAP Business One软件中,它可以提供包括SAP Crystal解决方案在内的一体化业务智能功能,为满足中小企业的业务智能要求提供了选择与灵活性。在执行预定义报表或者生成专为业务量身定制的报表时,会派生相关信息。这些信息有助于用户管理业务关键信息并及时采取更正措施。 SAP Business One提供了一系列用于支持分析和决策制定的报

表。其中包括会计核算报表、企业报表、库存报表、财务报表以及交互式仪表盘。用户可以单击鼠标将任何报报表导出为Microsoft Excel格式的文件。借助于操作简单的数据导航技术,用户可以轻松检索到所需信息,既节省了宝贵的时间,又增强了决策制定流程。 拖放 SAP Business One是唯一一套使用拖放相关功能的解决方案,可为用户提供对整个运营的所有级别的端到端可视性,同时协助用户即时理解业务中的主要关系与交易。 查询生成器 该工具配有对准即拍查询生成功能,可用于创建数据库查询和定义报表。任何字段中的数据都可用于创建明细报表或汇总报表。当查询定义完毕,则可保存在查询库中,以备日后使用。 报表编辑器可用于细化和更改现有查询。 查询向导 此工具与查询生成器功能相近,只不过它允许用户使用向导逐步完成查询生成流程。这项工具可以帮助那些希望创建查询但不熟悉SQL语法的用户。 预定义报表 SAP Business One针对各种功能应用领域,提供了一批预定义的内置报表。每张报表均着眼于特定的业务领域,用户能够自定义报表输出内容。报表一旦生成,用户即可交付打印版或通过电子邮件进

集成电路课程设计模板及参考资 [1]...

集成电路课程设计报告 设计课题: 数字电子钟的设计 姓名: 专业: 电子信息工程 学号: 日期 20 年月日——20 年月日指导教师: 国立华侨大学信息科学与工程学院

目录 1.设计的任务与要求 (1) 2.方案论证与选择 (1) 3.单元电路的设计和元器件的选择 (5) 3.1 六进制电路的设计 (6) 3.2 十进制计数电路的设计 (6) 3.3 六十进制计数电路的设计 (6) 3.4双六十进制计数电路的设计 (7) 3.5时间计数电路的设计 (8) 3.6 校正电路的设计 (8) 3.7 时钟电路的设计 (8) 3.8 整点报时电路的设计 (9) 3.9 主要元器件的选择 (10) 4.系统电路总图及原理 (10) 5.经验体会 (10) 参考文献 (11) 附录A:系统电路原理图 (12)

数字电子钟的设计 1. 设计的任务与要求 数字钟是一种…。 此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步了解…。 1.1设计指标 1. 时间以12小时为一个周期; 2. 显示时、分、秒; 3. 具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间; 4. 计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时; 5. 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。1.2 设计要求 1. 画出电路原理图(或仿真电路图); 2. 元器件及参数选择(或开发板的考虑); 3. 编写设计报告,写出设计的全过程,附上有关资料和图纸(也可直接写在 相关章节中),有心得体会。 2. 方案论证与选择 2.1 数字钟的系统方案 数字钟实际上是…

集成电路设计基础 课后答案

班级:通信二班姓名:赵庆超学号:20071201297 7,版图设计中整体布局有哪些注意事项? 答:1版图设计最基本满足版图设计准则,以提高电路的匹配性能,抗干扰性能和高频工作性能。 2 整体力求层次化设计,即按功能将版图划分为若干子单元,每个子单元又可能包含若干子单元,从最小的子单元进行设计,这些子单元又被调用完成较大单元的设计,这种方法大大减少了设计和修改的工作量,且结构严谨,层次清晰。 3 图形应尽量简洁,避免不必要的多边形,对连接在一起的同一层应尽量合并,这不仅可减小版图的数据存储量,而且版图一模了然。 4 在构思版图结构时,除要考虑版图所占的面积,输入和输出的合理分布,较小不必要的寄生效应外,还应力求版图与电路原理框图保持一致(必要时修改框图画法),并力求版图美观大方。 8,版图设计中元件布局布线方面有哪些注意事项? 答:1 各不同布线层的性能各不相同,晶体管等效电阻应大大高于布线电阻。高速电路,电荷的分配效应会引起很多问题。 2 随器件尺寸的减小,线宽和线间距也在减小,多层布线层之间的介质层也在变薄,这将大大增加布线电阻和分布电阻。 3 电源线和地线应尽可能的避免用扩散区和多晶硅布线,特别是通过

较大电流的那部分电源线和地线。因此集成电路的版图设计电源线和地线多采用梳状布线,避免交叉,或者用多层金属工艺,提高设计布线的灵活性。 4 禁止在一条铝布线的长信号霞平行走过另一条用多晶硅或者扩散区布线的长信号线。因为长距离平行布线的两条信号线之间存在着较大的分布电容,一条信号线会在另一条信号线上产生较大的噪声,使电路不能正常工作。、 5 压点离开芯片内部图形的距离不应少于20um,以避免芯片键和时,因应力而造成电路损坏。

专用集成电路设计

专用集成电路课程设计 简易电子琴 通信工程学院 011051班 侯珂

01105023 目录 1 引言 (1) 1.1设计的目的 (1) 1.2设计的基本内容 (2) 2 EDA、VHDL简介 (2) 2.1EDA技术 (2) 2.2硬件描述语言——VHDL (3) 2.2.1 VHDL的简介 (3) 2.2.2 VHDL语言的特点 (3) 2.2.3 VHDL的设计流程 (4) 3 简易电子琴设计过程 (5) 3.1简易电子琴的工作原理 (5) 3.2简易电子琴的工作流程图 (5) 3.3简易电子琴中各模块的设计 (6) 3.3.1 乐曲自动演奏模块 (7) 3.3.2 音调发生模块 (8) 3.3.3 数控分频模块 (9)

3.3.4 顶层设计 (10) 4 系统仿真 (12) 5 结束语 (14) 收获和体会.................................................................................................. 错误!未定义书签。参考文献 .. (15) 附录 (16)

1 引言 我们生活在一个信息时代,各种电子产品层出不穷,作为一个计算机专业的学生,了解这些电子产品的基本组成和设计原理是十分必要的,我们学习的是计算机组成的理论知识,而课程设计正是对我们学习的理论的实践与巩固。本设计主要介绍的是一个用超高速硬件描述语言VHDL设计的一个具有若干功能的简易电子琴,其理论基础来源于计算机组成原理的时钟分频器。 摘要本系统是采用EDA技术设计的一个简易的八音符电子琴,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它可以通过按键输入来控制音响。系统由乐曲自动演奏模块、音调发生模块和数控分频模块三个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值。 关键字电子琴、EDA、VHDL、音调发生 1.1 设计的目的 本次设计的目的就是在掌握计算机组成原理理论的基础上,了解EDA技术,掌握VHDL硬件描述语言的设计方法和思想,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识,例如本课程设计就是基于所学的计算机原理中的时钟分频器和定时器的基础之上的,通过本课程设计,达到巩固和综合运用计算机原理中的知识,理论联系实际,巩固所学理论知识,并且提高自己通过所学理论分析、解决计算机实际问题的能力。

集成电路设计答案-王志功版

集成电路设计答案-王 志功版 -CAL-FENGHAI-(2020YEAR-YICAI)_JINGBIAN

第一章 1.按规模划分,集成电路的发展已经经历了哪几代它的发展遵循了一条业界著名的定律,请说出是什么定律 晶体管-分立元件-SSI-MSI-LSI-VLSI-ULSI-GSI-SOC。MOORE定律 2.什么是无生产线集成电路设计列出无生产线集成电路设计的特点和环境。 拥有设计人才和技术,但不拥有生产线。特点:电路设计,工艺制造,封装分立运行。环境:IC产业生产能力剩余,人们需要更多的功能芯片设计3.多项目晶圆(MPW)技术的特点是什么对发展集成电路设计有什么意义MPW:把几到几十种工艺上兼容的芯片拼装到一个宏芯片上,然后以步行的方式排列到一到多个晶圆上。意义:降低成本。 4.集成电路设计需要哪四个方面的知识 系统,电路,工具,工艺方面的知识 第二章 1.为什么硅材料在集成电路技术中起着举足轻重的作用 原材料来源丰富,技术成熟,硅基产品价格低廉 2.GaAs和InP材料各有哪些特点P10,11 3.怎样的条件下金属与半导体形成欧姆接触怎样的条件下金属与半导体形成肖特基接触 接触区半导体重掺杂可实现欧姆接触,金属与掺杂半导体接触形成肖特基接触4.说出多晶硅在CMOS工艺中的作用。P13 5.列出你知道的异质半导体材料系统。 GaAs/AlGaAs, InP/ InGaAs, Si/SiGe, 6.SOI材料是怎样形成的,有什么特点 SOI绝缘体上硅,可以通过氧隔离或者晶片粘结技术完成。特点:电极与衬底之间寄生电容大大减少,器件速度更快,功率更低 7. 肖特基接触和欧姆型接触各有什么特点 肖特基接触:阻挡层具有类似PN结的伏安特性。欧姆型接触:载流子可以容易地利用量子遂穿效应相应自由传输。 8. 简述双极型晶体管和MOS晶体管的工作原理。P19,21 第三章 1.写出晶体外延的意义,列出三种外延生长方法,并比较各自的优缺点。 意义:用同质材料形成具有不同掺杂种类及浓度而具有不同性能的晶体层。外延方法:液态生长,气相外延生长,金属有机物气相外延生长 2.写出掩膜在IC制造过程中的作用,比较整版掩膜和单片掩膜的区别,列举三种掩膜的制造方法。P28,29 3.写出光刻的作用,光刻有哪两种曝光方式作用:把掩膜上的图形转换成晶圆上的器件结构。曝光方式有接触与非接触两种。 4.X射线制版和直接电子束直写技术替代光刻技术有什么优缺点

模拟集成电路设计软件使用教程

模拟集成电路设计软件实验教程 2006年4月

目录 实验一自上而下(Top-Down)的电路设计 (3) Lab 1.1 启动软件 (3) Lab 1.2 自上而下的系统级仿真 (3) Lab 1.3 电路图输入 (7) Lab 1.4 模块的创建 (10) Lab 1.5 电源的创建 (12) Lab 1.6 建立运放测试电路 (14) 实验二使用Spectre Direct进行模拟仿真 (17) Lab 2.1 运行仿真 (17) Lab 2.2 使用激励模板 (28) Lab 2.3 波形窗的使用 (32) Lab 2.4 保存仿真状态 (36) Lab 2.5 将仿真结果注释在电路图窗口 (37)

实验一自上而下(Top-Down)的电路设计Lab 1.1 启动软件 实验目的: 掌握如何启动模拟电路设计环境. 实验步骤: 1.进入Linux界面后,点击鼠标右键,选中New Terminal,则会弹出一个交互终端. 2.进入教程所在目录后,输入命令cd Artist446 (注意:cd后必须有空格;命令行大小写 敏感) 3.在同一个交互终端内,输入命令icms &,在屏幕底部会出现一个命令交互窗 (Command Interpreter Window,CIW).如果出现What’s New窗口,可使用File-Close 命令关闭. Lab 1.2 自上而下的系统级仿真 实验目的: 掌握如何对含AHDL模块的模块级设计进行仿真. 实验步骤: 1.在CIW中选择Tool-Library Manager,会弹出库管理器(Library Manager). 2.在库管理器中,用鼠标左键选中training,则cell中会显示出training库中所有的cell; 在training的所有cell中用左键选中peakTestv;用鼠标中键(或右键)打开(open)view 中的schematic.将会出现如下图所示的测试电路:

IC设计基础笔试集锦

IC设计基础(流程、工艺、版图、器件)笔试集锦 1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,列举一些与集成电路 相关的内容(如讲清楚模拟、数字、双极型、CMOS、MCU、RISC、CISC、DSP、ASIC、FPGA 等的概念)。(仕兰微面试题目) 什么是MCU? MCU(Micro Controller Unit),又称单片微型计算机(Single Chip Microcomputer),简称单片机,是指随着大规模集成电路的出现及其发展,将计算机的CPU、RAM、ROM、定时数器和多种I/O接口集成在一片芯片上,形成芯片级的计算机。 MCU的分类 MCU按其存储器类型可分为MASK(掩模)ROM、OTP(一次性可编程)ROM、FLASH ROM等类型。MASK ROM的MCU价格便宜,但程序在出厂时已经固化,适合程序固定不变的应用场合;FALSH ROM的MCU程序可以反复擦写,灵活性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途;OTP ROM的MCU价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功能不断翻新、需要迅速量产的电子产品。 RISC为Reduced Instruction Set Computing的缩写,中文翻译为精简执令运算集,好处是CPU核心 很容易就能提升效能且消耗功率低,但程式撰写较为复杂;常见的RISC处理器如Mac的Power PC 系列。 CISC就是Complex Instruction Set Computing的缩写,中文翻译为复杂指令运算集,它只是CPU分类的一种,好处是CPU所提供能用的指令较多、程式撰写容易,常见80X86相容的CPU即是此类。 DSP有两个意思,既可以指数字信号处理这门理论,此时它是Digital Signal Processing的缩写;也可以是Digital Signal Processor的缩写,表示数字信号处理器,有时也缩写为DSPs,以示与理论的区别。 2、FPGA和ASIC的概念,他们的区别。(未知) 答案:FPGA是可编程ASIC。 ASIC:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一 个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与 门阵列等其它ASIC(Application Specific IC)相比,它们又具有设计开发周期短、设计 制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点 3、什么叫做OTP片、掩膜片,两者的区别何在?(仕兰微面试题目)otp是一次可编程(one time programme),掩膜就是mcu出厂的时候程序已经固化到里面去了,不能在写程序进去!( 4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目) 5、描述你对集成电路设计流程的认识。(仕兰微面试题目) 6、简述FPGA等可编程逻辑器件设计流程。(仕兰微面试题目) 7、IC设计前端到后端的流程和eda工具。(未知) 8、从RTL synthesis到tape out之间的设计flow,并列出其中各步使用的tool.(未知) 9、Asic的design flow。(威盛VIA 2003.11.06 上海笔试试题) 10、写出asic前期设计的流程和相应的工具。(威盛) 11、集成电路前段设计流程,写出相关的工具。(扬智电子笔试) 先介绍下IC开发流程: 1.)代码输入(design input) 用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码 语言输入工具:SUMMIT VISUALHDL MENTOR RENIOR 图形输入: composer(cadence); viewlogic (viewdraw) 2.)电路仿真(circuit simulation) 将vhd代码进行先前逻辑仿真,验证功能描述是否正确 数字电路仿真工具: Verolog:CADENCE Verolig-XL SYNOPSYS VCS MENTOR Modle-sim VHDL : CADENCE NC-vhdl SYNOPSYS VSS MENTOR Modle-sim 模拟电路仿真工具: AVANTI HSpice pspice,spectre micro microwave: eesoft : hp 3.)逻辑综合(synthesis tools) 逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的门级电路;将初级仿真 中所没有考虑的门沿(gates delay)反标到生成的门级网表中,返回电路仿真阶段进行再 仿真。最终仿真结果生成的网表称为物理网表。 12、请简述一下设计后端的整个流程?(仕兰微面试题目) 13、是否接触过自动布局布线?请说出一两种工具软件。自动布局布线需要哪些基本元 素?(仕兰微面试题目) 14、描述你对集成电路工艺的认识。(仕兰微面试题目)

相关文档
最新文档