EDA_PWM信号发生器

多数示例提供完整源程序

KX_DN7++系统提供的大量电子设计自主创新

演示项目于宽领域大深度培养能力、启迪智慧、激励创新

杭州康芯公司

实验1 PWM发生器设计

注意程序路径

PWM控制字显示(高4位)

连续按键1: PWM控制字输入

PWM输出:P57

接示波器

逻辑分析仪波形

PWM输出

EDA课程设计——函数信号发生器

EDA课程设计——函数信号发生器 实验报告 学院(系) 专业、班级 学生姓名 学号 小组其他队员: 指导教师

(1)实验要求 (2)总体设计思路 (3)程序仿真 (4)实验结果 (5)心得体会 一.实验要求 (1)利用VHDL语言设计一个多功能信号发生器,可以产生正弦波,三角波,锯齿波和方波的数字信号。

(2)焊接一个D/A转换器,对输出的数字信号转换成模拟信号并在示波器上产生波形。 (3)在电路板上可以对波形进行选择输出。 (4)在电路板上可以对波形的频率与幅度进行调节。 二.总体设计思路 信号发生器主要由分频,波形数据的产生,四选一多路选择,调幅和D/A转换五个部分组成。 总体框架图如下: (1)分频 分频器是数字电路中最常用的电路之一,在FPGA的设计中也是使用效率非常高的基本设计。实现的分频电路一般有两种方法:一是使用FPGA芯片内部提供的锁相环电路,如ALTERA提供的PLL(Phase Locked Loop),Xilinx提供的DLL(Delay Locked Loop);二是使用硬件描述语言,如

VHDL、Verilog HDL等。本次我们使用VHDL进行分频器设计,将奇数分频,和偶数分频结合起来,可以实现50%占空比任意正整数的分频。 分频器原理图: 在我们本次试验中的实现即为当按下按键时,频率自动减半。如当输入为100MHZ,输出为50MHZ。 (2)信号的产生。 根据查找资料,我们最终确定了在QUARTUS中波形数据产生的方法,即利用地址信号发生器和LPM_ROM模块。ROM 的地址信号发生器,有七位计数器担任。LPM_ROM底层是FPGA 中的M4K等模块。然后在VHDL顶层程序设计中将两部分调用从而实现信号的发生。ROM中存放不同的初始化MIF文件(存放不同波形的数据)从而产生不同的波形。 信号产生模块:

函数信号发生器的设计 EDA课程设计

摘要 本说明书首先介绍了VHDL语言的特点及发展史;接着简要说明了D/A接口(函数发生器)的工作原理及设计思想和设计方案的确定;然后着重解释了使用VHDL语言设计D/A接口(函数发生器)的具体操作步骤及主要流程。为了更加详细的解释清楚主要流程在本课程设计说明书中还附加了相应的图片。最后还附加了实现设计的VHDL源程序。 关键词:VHDL D/A接口设计

绪论 EDA是电子设计自动化(Electronic Design Automation)的缩写。EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言 HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。EDA技术使设计者的工作仅局限于利用软件的方式来完成对系统硬件功能的实现,可以说EDA技术的产生与发展是电子设计技术的一个巨大进步。EDA技术融合了众多电子设计技术和计算机辅助技术,使得它在现代电子学方面的应用越来越广泛,也成为电子、电气类大学生必须熟练掌握的一种设计工具。 硬件描述性语言HDL是EDA技术的重要组成部分,常见HDL的有VHDL、HDL、ABEL、Verilog、AHDL、SystemC等。其中VHDL、Verilog在现在的EDA 设计中使用的最多,也拥有了几乎所有主流EDA工具的支持,而相对于其他语言VHDL更加完善。VHDL是英文全名是VHSIC(Very High Speed Integrated Circuit) Hardware Description Language,是硬件描述语言的业界标准之一。它作为一个规范语言和建模语言,具有与具体硬件电路无关及设计平台无关的特性,而且还有很强的电路行为描述和建模能力,能从多个层次的数字系统进行建模和描述,从而大大简化了硬件设计的任务,提高了设计效率和可靠性。 D/A转换器的功能是把二进制数字信号转换为与其数值成正比的模拟信号。AD558是并行8位D/A转换芯片,应用CPLD可以完成对AD558的控制。CPLD 与CPLD结合之后可以完成函数发生器的基本功能:波形输出。要实现这种结合就需要应用VHDL语言完成D/A接口的设计。通过合适的VHDL语言可以完成递增斜波、递减斜波、三角波、递增阶梯波的输出。

正弦信号发生器的设计

XXXX大学现代科技学院DSP硬件电路设计基础课程设计 设计名称正弦信号发生器的设计 专业班级 学号 姓名DENG 指导教师XXXX

课程设计任务书 注: 上交(大张图纸不必装订) 2.可根据实际内容需要续表,但应保持原格式不变。 日期:2014-12-10

专业班级 XXXXXXX 学号 姓名 DENG 成绩 设计题目 正弦波信号发生器 设计目的 学会使用CCS(Code Composer Studio)集成开发环境软件,在此集成开发环境下完成工程项目创建,程序编写,编译,链接,调试以及数据的分析。同时完成一个正弦波信号发生器的程序的编写,并在集成开发环境下进行模拟运行,观察结果。 设计内容 编写一个产生正弦波信号的程序,在CCS 软件下进行模拟运行,观察输出结果。 设计原理 正弦波信号发生器已被广泛地应用于通信、仪器仪表和工业控制等领域的信号处理系统中。通常有两种方法可以产生正弦波,分别为查表法和泰勒级数展开法。查表法是通过查表的方式来实现正弦波,主要用于对精度要求不很高的场合。泰勒级数展开法是根据泰勒展开式进行计算来实现正弦信号,它能精确地计算出一个角度的正弦和余弦值,且只需要较小的存储空间。本次课程设计只要使用泰勒级数展开法来实现正弦波信号。 1. 产生正弦波的算法 在高等数学中,正弦函数和余弦函数可以展开成泰勒级数,其表达式为 若要计算一个角度x 的正弦和余弦值,可取泰勒级数的前5项进行近似计算。 ……………………………………装………………………………………订…………………………………………线………………………………………

由上述两个式子可以推导出递推公式,即 sin(nx)=2cos(x)sin[(n-1)x]-sin[(n-2)x] cos(nx)=2cos(x)sin[(n-1)x]-cos[(n-2)x] 由递推公式可以看出,在计算正弦和余弦值时,不仅需要已知cos(x),而且还需要sin[(n-1)x]、sin[(n-2)x]和cos[(n-2)x]。 2. 正弦波的实现 ⑴计算一个角度的正弦值 利用泰勒级数的展开式,可计算一个角度x的正弦值,并采用子程序的调用方式。在调用前先在数据存储器d_xs单元中存放x的弧度值,计算结果存放在d_sinx单元中。 ⑵计算一个角度的余弦值 利用余弦函数展开的泰勒级数的前五项计算一个角度的余弦值,可采用子程序的调用方式来实现。调用前先将x弧度值放在数据存储器d_xc单元中,计算结果存放在d_cosx单元中。 ⑶正弦波的实现 利用计算一个角度的正弦值和余弦值程序可实现正弦波。其实现步骤如下:第一步:利用sin_start和cos_start 子程序,计算 45°~0°(间隔为 0.5°)的正弦和余弦值; 第二步:利用sin(2x)=2sin(x)cos(x)公式,计算 90°~0°的正弦值(间隔为1°);第三步:通过复制,获得359°~0°的正弦值; 第四步:将359°~0°的正弦值重复从PA口输出,便可得到正弦波。 在实际应用中,正弦波是通过D/A口输出的。选择每个正弦周期中的样点数、改变每个样点之间的延迟,就能够产生不同频率的波形,也可以利用软件改变波形的幅度以及起始相位。 总体方案设计 1. 总体实现方案 我们知道一个角度为x的正弦和余弦函数,都可以展开为泰勒级数,且其前五项可以看为:

正弦信号发生器的研究

本科毕业论文(设计) (2014届) 正弦信号发生器的研究 院 系 电子信息工程学院 专 业 电子信息工程 姓 名 张* 指导教师 钟** 讲师 2014年4月 学号:1008421063 2

摘要 随着电子技术的飞跃发展,社会发展步入了信息时代;随着生活水平提高,人们对精神生活的要求也跟着提高,这对电子领域提出了跟更高的要求。所以我们有必要在电子技术的各个领域不断创新、提高。正弦信号发生器广泛地应用于电子电路,自动控制系统,仪表测量校正调试和教学实验等领域。 本文利用仿真软件Proteus,以RC振荡电路、LC振荡电路、石英振荡电路为选频网络,仿真出三种正弦信号发生器的电路,通过仿真结果分析电路参数对正弦信号发生器性能指标的影响。其中研究RC振荡电路中电阻、电容对振荡频率的影响;讨论电位器对振荡电路输出波形的影响;讨论谐振回路的电容对振荡频率和反馈系数的影响;研究负载电阻对电路输出波形的影响等。 关键词:正弦信号发生器;Proteus;LC振荡电路;RC振荡电路

Abstract With the rapid development of electronic technology, social development has entered the information age; with the improvement of living standards, the requirements on the spiritual life of people has also been increased, which put forward with the higher request to the electronic field. So we need to constantly innovate and improve in all areas of electronic technology. Sinusoidal signal generator is widely used in the field of electronic circuits, automatic control system, instrumentation correction debugging and teaching experiment etc. On this paper, by using the simulation software Proteus, the RC oscillation circuit, LC circuit, crystal oscillation circuit for the frequency selective network, I simulated a circuit of three sinusoidal signal generator. Through the simulation results, I analyzed the influence of circuit parameters on the performance of the sinusoidal signal generator.Among which make a research on the effect of the resistance, capacitance of oscillation frequency in the RC oscillation circuit; discuss the effects of potentiometer to oscillation circuit output waveform; discuss the influence of capacitance in the resonant circuit on the oscillation frequency and the feedback coefficient of load resistance; and study the impact of load resistance on the circuit output waveform,etc. Keywords: sinusoidal signal generator; Proteus; LC circuit; RC oscillation circuit;

函数信号发生器 开题报告

毕业设计(论文)开题报告题目函数信号发生器 专业名称电子信息工程 班级学号118501106 学生姓名蔡伟攀 指导教师邓洪峰 填表日期2015年 3月25日

说明 开题报告应结合自己课题而作,一般包括:课题依据及课题的意义、国内外研究概况及发展趋势(含文献综述)、研究内容及实验方案、目标、主要特色及工作进度、参考文献等内容。以下填写内容各专业可根据具体情况适当修改。但每个专业填写内容应保持一致。

一、选题的依据及意义 1.选题依据 信号发生器(signal generator)又称信号源或振荡器,是输出供给量,产生频率、幅度、波形等主要参数都可调的信号,用于测量的信号发生器指的是能够产生不同频率、不同幅度的规则或不规则的信号源,在电子系统的测量、实验、校准和维护中的得到广泛的应用。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波甚至任意波形,各种波形曲线均可用三角函数方程式表示。如在制作和调试音频功率放大器时,就需要人为的输入一个标准音频信号,才能测量功率放大器的输出,得到功率放大器的相关参数,此时要用到的这个标准音频信号就是由信号发生器提供的,可见信号发生器的应用很广。信号发生器其作用是:测量网络的幅频特性、相频特性;测量网络的瞬态响应;测量接收机;测量元件参数等。 信号源可以分为通用和专用两种,通用信号源包括:正弦信号源、脉冲信号源、函数信号源、高频信号源、噪声信号源;专用信号源包括:电视信号源、编码脉冲信号源。信号发生器根据输出波形可以分为:正弦信号发生器、函数信号发生器、脉冲信号发生器和噪声信号发生器。 (1)正弦信号发生器 主要用于测量电路和系统的频率特性、非线性失真、增益及灵敏度等。按照其不同性能和用途还可以分为低频(20Hz~10MHz)信号发生器、高频(100kHz~300MHz)信号发生器、微波信号发生器、扫频和程控发生信号发生器、频率合成式信号发生器等。 (2)函数(波形)信号发生器 能产生特定的周期性时间函数波形(正弦波、方波、三角波、锯齿波和脉冲波等)信号,频率范围可以从几微赫兹到几十兆赫兹。除供通信、仪表和自动控制系统测试外,还广泛用于其他非电测量领域。 (3)脉冲信号发生器 能产生宽度、幅度和重复频率可调的矩形脉冲的发生器,可用以测试线性系统的瞬态响应,或用作模拟信号来测试雷达、多路通信和其他脉冲数字系统的性能。(4)随机信号发生器 通常又分为噪声信号发生器和伪随机信号发生器两种。噪声信号发生器的主要用途为:在待测系统中引入一个随机信号,以模拟实际工作条件中的噪声而测定系统性能;外加一个已知噪声信号与系统内部噪声比较以测定噪声系数;用随机信号代替正

EDA课程设计-正弦信号发生器的设计

《EDA技术》设计报告 设计题目正弦信号发生器的设计 院系:信息工程学院 专业:通信工程____ 学号: 姓名:__________

一.设计任务及要求 1.设计任务: 利用实验箱上的D/A 转换器和示波器设计正弦波发生器,可以在示波器上观察到正弦波 2.设计要求: (1) 用VHDL 编写正弦波扫描驱动电路 (2)设计可以产生正弦波信号的电路 (3)连接实验箱上的D/A 转换器和示波器,观察正弦波波形 二.设计方案 (1)设计能存储数据的ROM 模块,将正弦波的正弦信号数据存储在在ROM 中,通过地址发生器读取,将正弦波信号输入八位D/A 转化器,在示波器上观察波形 (2)用VHDL 编写正弦波信号数据,将正弦波信号输入八位D/A 转化器,在示波器上观察波形 三.设计框图 图 1 设计框图 信号发生器主要由以下几个部分构成:计数器用于对数据进行采样,ROM 用于存储待采样的波形幅度数值,TLV5620用于将采集的到正弦波数字量变为模拟量,最后通过示波器进行测量获得的波形。其中,ROM 设置为7根地址线,8个数据位,8位并行输出。TLV5260为串行输入的D/A 转换芯片,因此要把ROM 中并行输出的数据进行并转串。 四.实现步骤 1.定制ROM 计 数 器 7根地址线 8 位 R O M 并转串输出 CLK TLV5620D/A 转换 RST

ROM的数据位选择为8位,数据数选择128个。利用megawizard plug-in manager定制正弦信号数据ROM宏功能块,并将上面的波形数据加载于此ROM中。如图3所示。 图2 ROM存储的数据 图3 调入ROM初始化数据文件并选择在系统读写功能 2.设计顶层

正弦信号发生器方案选择

研究生专业实践方案论证题目:中心频率1MHz正弦波信号发生器 学号 姓名 专业机械工程 院系机械科学与工程学院 2016年4月29日

中心频率1MHz正弦波信号发生器 1.选题背景 在电子技术领域,经常需要用到一些信号作为测量基准或输入信号,也就是所谓的信号源。函数信号发生器已成为电子技术领域不可或缺的仪器,尤其是在电路调试过程中,信号发生器起着相当重要的作用。按其频率产生方法,信号发生器可分为谐振法和合成法两种。一般传统的信号发生器均采用谐振法,也就是用具有频率选择性的回路来产生正弦振荡,获得所需频率。近些年来,随着集成集成电路的不断发展,各大厂商生产的信号发生器大部分都采用分立元件及模拟集成电路构成频率合成器,不仅实现了仪器体积的进一步缩小,且准确度和精度得到了进一步提升。 2.方案设计 2.1 方案一 用分立元件组成的函数发生器。分立器件是相对于集成芯片而言的。随着科学技术的不断发展,人们渐渐步入电子时代,分立器件也被也被广泛应用到各种领域,如计算机外设、消费电子、仪器仪表等。它包括:半导体二极管、半导体三极管、电容、电阻、逻辑器件、传感器、敏感器件以及装好的压电晶体类似半导体器件等。 用分立器件组成的函数信号发生器,其机构简单、成本较低。但是由于元器件的分散性及环境条件的改变等因素,致使波形频率易产生偏差,它通常是单频率函数信号发生器,并且其频率较低,工作状态易产生波动,不易于调试。 2.1.2 方案二 用晶体管、运放IC等通用器件制作函数信号发生器。函数信号发生器可以由晶体管、运放IC等通用器件制作。早期的函数信号发生器IC,如L8038、BA205、XR2207等,它们的功能较少,精度不高,频率上限只有300kHz,无法产生更高的频率信号,调节方式也不够灵活,频率和占空比不能独立调节,二者互相影响。 由于用通用器件制作的函数信号发生器和方案一有同意的确定,即产生出信号的频率较低。因此,在本设计中,此种方案也不宜采用。

eda课程设计 信号发生器

目录 1. 引言 (1) 2. VHDL语言及Quartus II软件介绍 (2) 2.1 VHDL语言 (2) 2.2 Quartus II软件 (2) 3.总体设计思想及流程 (3) 4. 具体程序实现模块 (4) 4.1倍频器模块 (4) 4.2主程序模块 (4) 4.3 波形显示模块 (5) 4.4频率显示模块 (5) 5. 软件仿真 (6) 6. 硬件显示 (7) 7. 总结与体会 (8) 参考文献 (9) 附录 (10) 附录1. 整体系统原理图 (10) 附录2. 主程序 (11)

1. 引言 信号发生器是一种能够产生多种波形,如三角波、方波、锯齿波、正弦波的仪器。信号发生器在电路实验和设备检测以及通信、雷达、导航、宇航等领域有广泛的应用。正因为其在生活中应用的重要性,人们它做了大量的研究,总结出了许多实现方式。可以基于FPGA 、VHDL、单片机、DOS技能、数字电路等多种方法实现。简易信号发生器是信号发生器的一种。可以实现信号发生器的一些基本功能。本次课程设计要求设计的是一种简易信号发生器。 在本设计中要求设计的简易信号发生器是采用VHDL来实现的简易多功能信号发生器。它能产生正弦波,三角波和方波。且对各种波形的要求如下:(1)设计任意信号发生器,使之能够生成正弦波、三角波和方波; (2)电路的外部频率为40MHz,要求信号发生器可产生0-1KHz、 1KHz~10KHz、10KHz~1MHz三档频率的信号; (3)要求具有波形选择和频率选择的功能; (4)在同一频率档内,可实现频率的加减; (5)要求显示波形的同时能够进行频率的调节; (6)要求能够显示波形:A——正弦波;B——三角波;C——方波; (7)要求能够显示频率值; (8)可用示波器进行波形的观测。

信号发生器调研报告

毕业设计(论文)调研报告 学生姓名汤代月专业班级通信工程2012级1班 所在院系_________________ 电气工程系______________________ 指导教师___________ 职称_______________________ 讲师__________ 所在单位__________________ 电子电路教研室_____________________ 完成日期2015 年3月13日

调研报告 信号发生器是现代电子技术发展的重要成果,又称信号源或振荡器,各种波形曲线均可以用三角函数方程式来表示。能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。函数信号发生器在电路实验和设备检测中具有十分广泛的用途,也是应用最广泛的电子仪器之一。信号发生器是能提供各种频率、波形和输出电平电信号的设备。在测量各种电信系统或电信设备的振幅特性、频率特性、传输特性及其它电参数时,以及测量元器件的特性与参数时,用作测试的信号源或激励源。 信号发生器在测试、研究或调整电子电路及设备时,为测定电路的一些电参量,如测量频率响应、噪声系数,为电压表定度等,都要求提供符合所定技术条件的电信号,以模拟在实际工作中使用的待测设备的激励信号。当要求进行系统的稳态特性测量时,需使用振幅、频率已知的正弦信号源。当测试系统的瞬态特性时,又需使用前沿时间、脉冲宽度和重复周期已知的矩形脉冲源。并且要求信号源输出信号的参数,如频率、波形、输出电压或功率等,能在一定范围内进行精确调整,有很好的稳定性。有输出指示信号源可以根据输出波形的不同,划分为正弦波信号发生器、矩形脉冲信号发生器、函数信号发生器和随机信号发生器等四大类。 一?课题的来源及意义 近年来由于电子器件的发展以及数字化微处理器技术的发展,信号发生器有了迅 速的发展,出现了合成信号发生器、程控信号发生器等新种类。各类信号发生器的性能指标也都有了大幅度提高,据调查得知,在低价格、高时钟频率、高性能的新一代DDS'可世后,以后信号发生器的发展不可估量!信号发生器应用己经遍及国民经济的各个领域,深入了人们的日常生活。增加课题应用技术的论述,所以我选择利用FPG/实现信号发生器的设计 我作为新时代大学生中的一员,在学习了通信工程专业知识后,又加入了WNC 企业中实习。实物接触应用机会多了,对信号发生器了解日渐加深,我想把理论知识

EDA--调频信号发生器

湖南人文科技学院 课程设计报告 课程名称:VHDL语言与EDA课程设计 设计题目:调频信号发生器 系别:信息学院 专业:电子信息工程 班级:一班 学生姓名: 姚靖瑜何渡余建佳 学号: 13409112 13409115 13409120 起止日期: 2016年6月16日 指导教师:姚毅 教研室主任:

指导教师评语: 指导教师签名:年月日 成绩评定 项目权重 成绩 1、设计过程中出勤、学习态度等方面 2、课程设计质量与答辩 3、设计报告书写及图纸规范程度 总成绩 教研室审核意见: 教研室主任签字:年月日教学系审核意见: 主任签字:年月日

摘要 本文介绍一种利用EDA技术和VHDL语言,在QuartusⅡ环境下,设计的一种调频信号发生器。EDA 技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关开发软件,自动完成用软件的方式设计的电子系统到硬件系统实现,最终形成集成电子系统或专用集成芯片的一门新技术。介绍一种基于DDS 原理,并采用FPGA 芯片和VHDL 开发语言设计的任意函数调频的任意波形信号发生器,给出了设计方案和在GW48 CK型EDA 集成电路开发系统上实现的实验结果。 关键词:调频;信号发生器;DDS;FPGA;VHDL;QuartusⅡ

目录 设计要求 (1) 1、方案论证与对比 (1) 1.1方案对比 (1) 1.2方案选择 (2) 2、工作原理及过程 (2) 2.1DDS的基本原理 (2) 2.2基本流程图 (3) 3、模块设计 (3) 3.1MATLAB设计 (3) 3.1.1 顶层原理图设计 (3) 3.1.2 Smulink模型仿真 (4) 3.1.3 Signalcompiler的使用 (4) 3.2Q UARTUS II设计 (6) 3.2.1 顶层原理图模块 (6) 3.2.2 高速A/D转换器TLC5510 (6) 3.2.3 调试与操作说明 (7) 3.2.4 顶层文件设计 (8) 4、问题分析 (9) 5、心得体会 (9) 6、元件清单 (10) 7、致谢 (10) 参考文献 .................................................................................................. 错误!未定义书签。附录一AD5510控制程序 .. (11) 附录二顶层文件程序 (12)

EDA课程设计_多功能波形信号发生器

目录 摘要 (1) 一、设计要求 (3) 三、系统设计思路 (4) 3.1 波形函数发生装置的选择 (4) 3.2 波形输入输出控制方式的选择 (5) 四、各模块设计及仿真 (6) 4.1函数发生模块 (6) 4.1.1 正弦波模块 (6) 4.1.2 方波模块 (7) 4.1.3 递增锯齿波模块 (9) 4.1.4 递减锯齿波模块 (10) 4.1.5 阶梯波模块 (12) 4.1.6 三角波模块 (13) 4.2调控模块 (15) 4.2.1波形输出控制单元 (15) 4.2.2波形输入控制单元 (16) 4.2.3频率控制单元 (18) 4.2.4幅度控制单元 (20) 4.3 D/A转换器 (21) 4.4 总电路 (24) 五、硬件测试 (25)

5.1编译 (25) 5.2 引脚的锁定 (26) 5.3编程下载 (27) 5.4 硬件验证 (28) 六、课程设计心得体会 (31) 参考文献 (32) 附录 (33) 摘要 本次设计课题为应用VHDL语言及MAX+PLUSII软件提供的原理图输入设计功能,组合电子线路的设计加以完成一个任意波形信号发生器。它具有结构紧凑,性能稳定,设计结构灵活,方便进行多功能组成的特点,经济实用,成本低廉的特点。可产生正弦波、方波、三角波、递增锯齿波、递减锯齿波以及阶梯波,并可使用示波器观察波形。实现了系统信号实时快速测量,也为其广泛应用于实际领域创造了条件。 在实现过程中,将整体功能模块化,分为函数发生模块和调控模块。在调控模块中实现了调频调幅以及对于波形的输入输出控制。对于D/A转化器,本实验选择的是TLC7528,利用简单的8进制计数控制CS和WR端口的同步输出, 实现数模转换的同时,保持相应位的同步实现。 在课程设计中遇到了诸多困难,在用示波器显示波形时,却总是得不到稳定的波形,后来发现在输入控制中,仅需要3位二进制数即能完成简单的8进制计数,自己却习惯性的用了8位,这使得分频现象严重,更改后即得到了了稳定的

正弦波信号发生器设计(课设)

课程设计I(论文)说明书 (正弦波信号发生器设计) 2010年1月19日

摘要 正弦波是通过信号发生器,产生正弦信号得到的波形,方波是通过对原信号进行整形得到的波形。 本文主要介绍了基于op07和555芯片的正弦波-方波函数发生器。以op07和555定时器构成正弦波和方波的发生系统。Op07放大器可以用于设计正弦信号,而正弦波可以通过555定时器构成的斯密特触发器整形后产生方波信号。正弦波方波可以通过示波器检验所产生的信号。测量其波形的幅度和频率观察是否达到要求,观察波形是否失真。 关键词:正弦波方波 op07 555定时器

目录 引言 (2) 1 发生器系统设计 (2) 1.1系统设计目标 (2) 1.2 总体设计 (2) 1.3具体参数设计 (4) 2 发生器系统的仿真论证 (4) 3 系统硬件的制作 (4) 4 系统调试 (5) 5 结论 (5) 参考文献 (6) 附录 (7) 1

引言 正弦波和方波是在教学中经常遇到的两种波形。本文简单介绍正弦波和方波产生的一种方式。在这种方式中具体包含信号发生器的设计、系统的论证、硬件的制作,发生器系统的调制。 1、发生器系统的设计 1.1发生器系统的设计目标 设计正弦波和方波发生器,性能指标要求如下: 1)频率范围100Hz-1KHz ; 2)输出电压p p V ->1V ; 3)波形特性:非线性失真~γ<5%。 1.2总体设计 (1)正弦波设计:正弦波振荡电路由基本放大电路、反馈网络、选频网 络组成。

2 图1.1 正弦波振荡电路产生的条件是要满足振幅平衡和相位平衡,即AF=1; φa+φb=±2nπ;A=X。/Xid; F=Xf/X。;正弦波振荡电路必须有基本放大电路, 本设计以op07芯片作为其基本放大电路。 基本放大电路的输出和基本放大电路的负极连接电阻作为反馈网络。反馈网络中 两个反向二极管起到稳压的作用。振荡电路的振荡频率f0是由相位平衡条件决 定的。一个振荡电路只在一个频率下满足相位平衡条件,这要求AF环路中包含 一个具有选频特性的选频网络。f0=1/2πRC。要实现频率可调,在电容C不变的 情况下电阻R可调就可以实现频率f0的变化。 (2)方波设计:方波可以把正弦波通过斯密特触发器整形后产生。基于555定时器接成的斯密特触发器。 设斯密特触发器输出波形为V1,V2且V1>V2。 输入正弦波v1从0逐渐升高的过程:v1<1/3Vcc时,输出v0=V1; 当1/3Vcc2/3Vcc时,v0=V2; 输入正弦波v1从高于2/3Vcc开始下降的过程:当1/3Vcc

EDA实验 函数信号发生器

EDA设计实验 题目:函数信号发生器 作者: 所在学院:信息科学与工程学院 专业年级: 指导教师: 职称: 2011 年 12 月 11 日

函数信号发生器 摘要:函数信号发生器在生产实践和科技领域有着广泛的应用。本设计是采用了EDA技术设计的函数信号发生器。此函数信号发生器的实现是基于VHDL语言描述各个波形产生模块,然后在QuartusⅡ软件上实现波形的编译,仿真和下载到Cyclone芯片上。整个系统由波形产生模块和波形选择模块两个部分组成。最后经过QuartusⅡ软件仿真,证明此次设计可以输出正弦波、方波、三角波,锯齿波,阶梯波等规定波形,并能根据波形选择模块的设定来选择波形输出。 关键字:函数信号发生器;Cyclone;VHDL;QuartusⅡ 引言: 函数信号发生器即通常所说的信号发生器是一种常用的信号源,广泛应用于通信,雷达,测控,电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格要求的电信号设备是最普通、最基本也是应用最广泛的电子仪器之一,几乎所有电参量的测量都要用到波形发生器。随着现代电子技术的飞速发展,现代电子测量工作对函数信号信号发生器的性能提出了更高的要求,不仅要求能产生正弦波、方波等标准波形,还能根据需要产生任意波性,且操作方便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度、及分辨率高等。本文基于

EDA设计函数信号发生器,并产生稳定的正弦波、方波、锯齿波、三角波、阶梯波。 正文: 1、Quartus II软件简介 1)Quartus II软件介绍 Quartus II 是Alera公司推出的一款功能强大,兼容性最好的EDA工具软件。该软件界面友好、使用便捷、功能强大,是一个完全集成化的可编程逻辑设计环境,具有开放性、与结构无关、多平台完全集成化丰富的设计库、模块化工具、支持多种硬件描述语言及有多种高级编程语言接口等特点。 Quartus II是Altera公司推出的CPLD/FPGA开发工具,Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;芯片平面布局连线编辑;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验证工具;可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件;能生成第

简易信号发生器的设计实现

EDA课程设计简易信号发生器的设计实现 小组成员:XXXXXX XXXXX 专业:XXXXX 学院:机电与信息工程学院指导老师:XXXXXX 完成日期:XX年XX月XX日

目录 引言 (3) 一、课程设计内容及要求 (3) 1、设计内容 (3) 2、设计要求 (3) 二、设计方案及原理 (3) 1、设计原理 (3) 2、设计方案 (4) (1)设计思想 (4) (2)设计方案 (4) 3、系统设计 (5) (1)正弦波产生模块 (5) (2)三角波产生模块 (6) (3)锯齿波产生模块 (6) (4)方波产生模块 (6) (5)波形选择模块 (6) (6)频率控制模块 (6) (7)幅度控制模块 (6) (8)顶层设计模块 (7) 三、仿真结果分析 (7) 波形仿真结果 (7) 1、正弦波仿真结果 (7) 2、三角波仿真结果 (8) 3、锯齿波仿真结果 (8) 4、方波仿真结果 (8) 5、波形选择仿真结果 (9) 6、频率控制仿真结果 (9) 四、总结与体会 (10) 五、参考文献 (10) 六、附录 (11)

简易信号发生器 引言 信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广范的应用。它能够产生多种波形,如正弦波、三角波、方波、锯齿波等,在电路实验和设备检验中有着十分广范的应用。 本次课程设计采用FPGA来设计多功能信号发生器。 一、课程设计内容及要求 1、设计内容 设计一个多功能简易信号发生器 2、设计要求 (1)完成电路板上DAC的匹配电阻选择、焊接与调试,确保其能够正常工作。 (2)根据直接数字频率合成(DDFS)原理设计正弦信号发生器,频率步进1Hz,最高输出频率不限,在波形不产生失真(从输出1KHz正弦转换为输出最高频率正弦时,幅度衰减不得大于10%)的情况下越高越好。频率字可以由串口设定,也可以由按键控制,数码管上显示频率傎。 (3)可以控制改变输出波形类型,在正弦波、三角波、锯齿波、方波之间切换。 (4)输出波形幅度可调,最小幅度步进为100mV。 二、设计方案及原理 1、设计原理 (1)简易信号发生器原理图如下

基于单片机正弦波系统信号发生器

基于单片机正弦波系统信号发生器 学校:宿州学院 班级:08电气一班 姓名:李伟 指导教师:郑伟

基于单片机正弦波系统 信号发生器 绪论 (2) 第一章系统概述和方案 (3) 1.1引言 (3) 1.2方案选择 (3) 1.3 DDS的理论分析与参数计算 (3) 1.3.1 DDS的基本原理 (3) 1.3.2 参数计算 (4) 1.4 信号发生芯片选择 (4) 第二章系统硬件设计 (6) 2.1系统总体设计 (6) 2.2单片机介绍及与AD9835(DDS)连接电路 (6) 2.2.1AD89S51芯片介绍 (6) 2.2.2 AD9835(DDS)芯片介绍 (7) 2.3 信号发生器 (8) 2.4 低通滤波电路 (9) 2.5 D/A转换及浮动控制电路 (10) 2.6 信号放大器 (10) 2.7 显示电路 (11) 2.8 键盘电路 (12) 2.9 电源电路 (12) 第三章系统软件流程图 (14) 3.1 主程序流程图 (14) 3.2 键盘处理子程序流程图 (14) 3.3 D/A转换子程序流程图 (15) 致谢 (17) 附录 (18)

绪论 基于单片机正弦波系统信号发生器设计,该课题的设计母的是充分运用大学期间所学的专业知识,考察信号发生器的基本功能,完成一个基本的实际系统的设计全过程.通过单片机控制一个有特殊功能的信号发生芯片,可以产生一系列有规律的幅度和频率可调的波形.这样一个信号发生装置在控制领域有相当广泛的应用范围. 直接数字频率合成(DDS)是近年来发展起来的一种新的频率合成技术。其主要有点是相对带宽很宽、频率转换时间极短(可小于20ns)、频率分辨率很高、全数字化结构便于集成、输出相位连续、频率、相位和幅度均可实现控制。因此能够与计算机紧密联系在一起,充分发挥软件的作用。作为应用现在已有DDS 产品用于接收基本振、信号发生器、通信系统,雷达系统、跳频通信系统等。 本文介绍一种由直接数字频率合成芯片AD9835设计的正弦信号发生器,该芯片支持高达50MHZ的时钟频率,可以产生最高达25MHZ 的正弦波形。通过单片机控制完全可以满足设计所要求的正弦波信号的生成。本文主要分六大部分;绪论,系统概述和方案,硬件部分,软件部分,展望和致谢。绪论,首先对课题研究背景和所涉及的相关技术领域进行了介绍;第一章对系统所要完成的功能和可拓展的功能进行概述,确定系统的设计方案主要元器件的选择。第二章对系统的硬件结构和各部分组成做了简单的介绍和讲解。第三部分是软件部分,这部分主要介绍了主程序的流程框图及各个子程序的流程框图,最后对整篇文章进行了总结。

EDA实验-正弦信号发生器的设计说明

学生实验报告 系别电子信息学院课程名称《EDA综合实验》 班级12通信实验名称正弦信号发生器的设计 实验时间 2014年5 月日 学号2012 指导教师王红航 成绩批改时间2014年月日 报告容 一、实验目的和任务 进一步熟悉QuartusII及其LPM_ROM与FPGA硬件资源的使用方法。 二、实验原理介绍 EDA是电子设计自动化,对象是数字电路设计,而证选择、新号发生器的设计却是一个模拟电路设计,因此需要用到D/A转换器。 根据正弦信号发生器的波形,得知该试验的输入为时间,而输出为振幅。如果将正弦波的振幅放在存储单元为64的ROM中,根据ROM的功能得知,ROM中的数据与地址是一一对应的关系,因此设计由时间和振幅的对应关系转换为时间和地址的对应关系。据此,要得到正弦信号,需要设计一个6位二进制计数器。下图为总体设计框图: 在许多实用情况下,必须使用宏功能模块才能使用一些Altera特定期间的硬件功能,例如各类片上存储器、DSP模块等等。这些可以以图形或硬件描述语言模块形式方便调用的宏功能块,使得基于EDA技术的电子设计的效率和可靠性有了很大的提

高。 LPM是参数可设置模块库(Library of Parameterized Modules)的英语缩写。根据实际电路的设计需要,选择LPM库中的适当模块,并为其设定适当的参数,就能满足自己的设计需要,从而在自己的项目中十分方便的调用优秀的电子工程技术人员的硬件设计成果。 LPM功能模块容丰富,每一模块的功能、参数含义、使用方法、硬件描述语言模块参数设置及调用方法都可以在QuartusII中的help中查阅到,方法是选择help→Megafunction/LPM命令。 定制LPM_ROM模块流程: 1、定制初始化数据文件,建立.hex格式文件 (1)打开QuartusII软件,选择“NEW”菜单,在“others”卡片下选择“Hexadecimail(Inter-Format)File”或者“Memory Initialization File”, 如下图所示。 (2)点击图中的OK后,弹出如图所示的图片,改写“Number of words”为64. (3)点击图中OK后,弹出如图所示的图片,按照图的数据讲空白的表格填上

正弦信号发生器设计

正弦信号发生器设计方案 1 引言 为了精确地输出正弦波、调幅波、调频波、PSK及ASK等信号,并依据直接数字频率合成(Direct Digital FrequencySvnthesizer,简称DDFS)技术及各种调制信号相关原理,设计了一种采用新型DDS器件产生正弦波信号和各种调制信号的设计方法。采用该方法设计的正弦信号发生器已广泛用于工程领域,且具有系统结构简单,界面友好等特点。 2 系统总体设计方案 图1给出系统总体设计方框图,它由单片机、现场可编程门阵列(FPGA)及其外围的模拟部分组成。在FPGA的内部数字部分中,利用FPGA内部的总线控制模块实现与键盘扫描、液晶控制等人机交互模块的通信,并在单片机与系统工作总控制模块之间的交互通信中起桥梁作用。系统工作总控制可统一控制各个时序模块;各时序模块用于完成相应的控制功能。在模拟部分中,利用无源低通滤波器及放大电路,使AD9851型DDS模块的输出信号成为正弦波和FM调制信号;再利用调幅电路,使FPGA内部DDS模块产生的信号与AD9851输出的载波信号变为调幅信号,同时在基带码控制下通过PSK/ASK调制电路得到PsK和ASK信号。最后,各路信号选择通道后,经功率放大电路驱动50Ω负载。 3 理论分析与计算 3.1 调幅信号 调幅信号表达式为:

式中:ω0t,ωt分别为调制信号和载波信号的角频率;MA为调制度。 令V(O)=Vocos(ω0t),V(ω)=MAcos(ωt),则V(t)=V(O)+V(O)V(ω)。故调幅信号可通过乘法器和加法器得到;通过改变调制信号V(ω)的幅值改变MA,V(ω)的范围为0.1~l V,MA对应为10%~100%。 3.2 调频信号 采用DDS调频法产生调频信号,具体实现方法:通过相位累加器和波形存储器在FPGA内部构成一个DDS模块,用于产生1 kHz的调制信号。其中,波形存储器的数据即为调制信号的幅度值。将这些表示幅度值的数据直接与中心频率对应的控制字相加,即可得到调频信号的瞬时频率控制字,再按调制信号的频率切换这些频率控制字,即可得到与DDS模块输出相对应的调频信号。 3.3 PSK和ASK信号 ASK信号是振幅键控信号,可用一个多路复用器实现。当控制信号为1时,选择载波信号输出;当控制信号为0时,不选择载波信号输出;当控制信号由速率为10 Kb/s的数字脉冲序列给出时,可以产生ASK信号。PSK信号是移相键控信号,这里只产生二相移相键控,即BPSK信号。它的实现方法与ASK基本相同,只是在控制信号为0时,选择与原载波信号倒相的输出信号,该倒相信号可由增益倍数为l的反相放大电路实现。 4 主要功能电路设计 图2给出调幅电路。它采用ADI公司的乘法器AD835实现。该器件内部自带加法器,可直接构成调幅电路。图3给出PSK/ASK电路。它主要由多路复用器和移相器构成。其中,移相器采用Maxim公司的高速运算放大器MAX477所构成的反相放大电路实现,多路复用器采用ADI公司的AD7502。当两条通道选择控制线A1AO为ll时,输出原信号;当A1A0为00时,输出原信号的反相信号;当A1A0为01时,无信号输出。这样只要FPGA按固定速率通过Al和AO两条控制线给出基带序列信号,就能相应输出PSK和ASK信号。

相关文档
最新文档