哈工大电子技术实验四人无弃权表决电路(高分版)

哈工大电子技术实验四人无弃权表决电路(高分版)
哈工大电子技术实验四人无弃权表决电路(高分版)

姓名XXX 班级1108301 学号11108301xx 实验日期 6.5 节次9-11 教师签字成绩

四人无弃权表决电路

1.实验目的

1)掌握74LS20的逻辑功能和使用方法;

2)通过实验,进一步熟悉组合逻辑电路的分析与设计方法。

2.总体设计方案或技术路线

设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),用74LS20来实现。

1)根据任务的要求,设计电路;

2)用代数化简法求出最简的逻辑表达式;

3)根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路;

4)最后,用实验来验证设计的正确性。

3.实验电路图

1)ABCD输入端,接数据开关;Z输出端接电平指示器;

2)改变ABCD的组态,记录Z的变化,验证逻辑函数的功能及设计的正确性。

4. 仪器设备名称、型号

1)实验箱 1台

2)双踪示波器 1台

3)双路直流稳压电源 1台

4)数字万用表 1只

5)74LS20 3片

5.理论分析或仿真分析结果

74LS20管脚图:

逻辑关系式:

C AB

D Z=ABC+BCD+ACD+ABD=AB BCDACD

逻辑图:

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表:

7.实验结论

由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。

8.实验中出现的问题及解决对策

实验过程中由于有五个与门,而每个74LS20可实现两个与门,故线路连起来相当复杂,容易混淆,故在连接电路时安排好位置,标记好引脚和接头。

9.本次实验的收获和体会、对电路实验室的意见或建议

此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。

这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。

10.参考文献

[1]电工学实验教程/王宇红主编.——北京:机械工业出版社,2009.8(2012.1重印)

哈工大单片机实验报告(上传)

H a r b i n I n s t i t u t e o f T e c h n o l o g y 单片机原理与应用 实验报告 学生姓名: 学号: 班级: 专业: 任课教师: 所在单位: 2013年5月

软件实验 在软件实验部分,通过实验程序的调试,使学生熟悉MCS-51的指令系统,了解程序设计过程,掌握汇编语言设计方法以及如何使用实验系统提供的调试手段来排除程序错误。 实验一清零程序 一、实验目的 掌握汇编语言设计和调试方法,熟悉键盘操作。 二、实验内容 把2000~20FFh的内容清零。 三、程序框图 四、实验过程 实验中利用MOVX语句,将外部存储器指定内容清零。利用数据指针DPTR完成数据传送工作。程序采用用循环结构完成,R0移动单元的个数,可用CJNE比较语句判断循环是否结束。 五、实验结果及分析 清零前清零后

【问题回答】清零前2000H~20FFH中为内存里的随机数,清零后全变为0。 六、实验源程序 AJMP MAIN ORG 0640H MAIN: MOV R0, #00H MOV DPL, #00H MOV DPH, #20H LOOP: MOV A, #00H MOVX @DPTR, A INC DPTR INC R0 CJNE R0, #0FFH, LOOP MOVX @DPTR, A END 实验二拆字程序 一、实验目的 掌握汇编语言设计和调试方法。 二、实验内容 把2000h的内容拆开,高位送2001h低位,低位送2002h低位,2001h、2002h高位清零,一般本程序用于把数据送显示缓冲区时用。 三、程序框图 四、实验过程 将寄存器中内容送入2000H,分别将高低四位移到低位,将高四位置零然后移入2001H 和2002H中。利用MOVX语句、DPTR指针可实现数据的传送,利用高低四位交换语句SWAP和与语句ANL可进行对高低位的清零。

哈工大电子技术实验四人无弃权表决电路(高分版)

姓名XXX 班级1108301 学号xx 实验日期节次 9-11 教师签字成绩 四人无弃权表决电路 1.实验目的 1)掌握74LS20的逻辑功能和使用方法; 2)通过实验,进一步熟悉组合逻辑电路的分析与设计方法。 2.总体设计方案或技术路线 设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),用74LS20来实现。 1)根据任务的要求,设计电路; 2)用代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路; 4)最后,用实验来验证设计的正确性。 3.实验电路图 1)ABCD输入端,接数据开关;Z输出端接电平指示器; 2)改变ABCD的组态,记录Z的变化,验证逻辑函数的功能及设计的正确性。 4. 仪器设备名称、型号

1)实验箱 1台2)双踪示波器 1台3)双路直流稳压电源 1台4)数字万用表 1只5)74LS20 3片5.理论分析或仿真分析结果 74LS20管脚图: 逻辑关系式: C AB D Z=ABC+BCD+ACD+ABD=AB BCDACD 逻辑图:

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表: A B C D F 00000 00010 00100 00110

7.实验结论 由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。

8.实验中出现的问题及解决对策 实验过程中由于有五个与门,而每个74LS20可实现两个与门,故线路连起来相当复杂,容易混淆,故在连接电路时安排好位置,标记好引脚和接头。 9.本次实验的收获和体会、对电路实验室的意见或建议 此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。 这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。 10.参考文献 [1]电工学实验教程/王宇红主编.——北京:机械工业出版社,(重印)

哈工大电路自主设计实验二端口网络参数的测定

二端口网络参数的测定 一、实验目的 1.加深理解双口网络的基本理论。 2.学习双口网络Y 参数、Z 参数及传输参数的测试方法。 3.验证二端口网络级联后的传输参数与原二端口网络传输参数的关系。 二、原理说明 1.如图2-12-1所示的无源线性双口网络,其两端口的电压、电流四个变量之间关系,可用多种形式的参数方程来描述。 图2-12-1 (1)若用Y 参数方程来描述,则为 ()()()(),即输入端口短路时令,即输入端口短路时令,即输出端口短路时令,即输出端口短路时令其中0I 0I 0I 0I 12 2 2212 1 1221 2 2121 1 1122212122121111== ======+=+=U U Y U U Y U U Y U U Y U Y U Y I U Y U Y I 由上可知,只要在双口网络的输入端口加上电压,令输出端口短路,根据上面的前两个公式即可求得输入端口处的输入导纳Y 11和输出端口与输入端口之间的转移导纳Y 21。 同理,只要在双口网络的输出端口加上电压,令输入端口短路,根据上面的后两个公式即可求得输出端口处的输入导纳Y 22和输入端口与输出端口之间的转移导纳Y 12。 (2)若用Z 参数方程来描述,则为

()()()(),即输入端口开路时令,即输入端口开路时令,即输出端口开路时令,即输出端口开路时 令其中 0U Z 0U Z 0U Z 0U 12 2 2212 1 1221 2 212111122212122121111== ======+=+=I I I I I I I I Z I Z I Z U I Z I Z U 由上可知,只要在双口网络的输入端口加上电流源,令输出端口开路,根据上面的前两个公式即可求得输出端口开路时输入端口处的输入阻抗Z 11和输出端口与输入端口之间的开路转移阻抗Z 21。 同理,只要在双口网络的输出端口加上电流源,令输入端口开路,根据上面的后两个公式即可求得输入端口开路时输出端口处的输入阻抗Z 22和输入端口与输出端口之间的开路转移阻抗Z 12。 (3)若用传输参数(A 、T )方程来描述,则为 ()()()(),即输出端口短路时令,即输出端口开路时令,即输出端口短路时令,即输出端口开路时令其中0I D 0I C 0U B 0U A 221s 220 10 221s 220 10 221221=-= ===-===-=-=U I I U U I I U DI CU I BI AU U s s 由上可知,只要在双口网络的输入端口加上电压,令输出端口开路或短路,在两个端口同时测量电压和电流,即可求出传输参数A 、B 、C 、D ,这种方法称为同时测量法。 2.测量一条远距离传输线构成的双口网络,采用同时测量法就很不方便,这时可采用分别测量法,即先在输入端口加电压,而将输出端口开路或短路,在输入端口测量其电压和电流,由传输方程得 () () ,即输出端口短路时令,即输出端口开路时令00111101010======2s s s 2U D B I U R I C A I U R 然后在输出端口加电压,而将输入端口开路或短路,在输出端口测量其电压和电流,由

哈工大计算机网络实验报告之五

计算机网络课程实验报告 实验5:利用Ethereal分析TCP、UDP、ICMP协议 继续学习Ethereal的使用; 利用Ethereal分析TCP、UDP和ICMP协议。 TCP协议采用了哪些机制保证可靠数据传输。(3分) 数据重传和数据确认应答机制 Traceroute的工作过程,用自己的话来描述,200字以内,超过酌情扣分。 (4分) 构造数据包,来检查到达一个主机时经过了哪些路由。主机发送给目的地址的数据包的TTL是从1逐个递增的,而数据包每到达一个路由器,它的TTL值就会减1,当TTL减到0时,该数据包被取消,传回一个数据包给主机,我们就能捕获这个路由器的IP地址了。如果收到"超时错",表示刚刚到达的是路由器,而如果收到的是"端口不可达" 错误,表示刚刚到达的就是目的主机,路由跟踪完成,程序结束。 阐述一下为什么应用程序开发者会选择将应用程序运行在UDP而不是TCP 之上?(3分) UDP没有拥塞控制机制,发送方可以以任何速率向下层注入数据。很多实时应用是

可以容忍一定的数据丢失的,同时又对速率有很高要求(比如在线视频播放),这时开发者会倾向选择UDP协议,避免使用TCP协议的拥塞控制机制产生的分组开销。 实验过程: 使用Ethereal分析TCP协议: (15分)得分:抓取本机与https://www.360docs.net/doc/115244497.html,/ethereal-labs/alice.txt通信过程中的网络数据包。根据操作思考以下问题: 客户服务器之间用于初始化TCP连接的TCP SYN报文段的序号(sequence number)是多少?在该报文段中,是用什么来标示该报文段是SYN报文段的? Seq=0 Flags中的syn位为1,ack位为0,说明是syn报文段 服务器向客户端发送的SYNACK报文段序号是多少?该报文段中,Acknowledgement字段的值是多少?https://www.360docs.net/doc/115244497.html,服务器是如何决定此值 的?在该报文段中,是用什么来标示该报文段是SYNACK报文段的? Seq=0 Ack=1,服务器根据客户端发送的SYN报文的Seq值加一后得到此值 Flags中的Ack和Syn位都为1,所以是SYNACK报文

哈工大电路自主设计实验

姓名 班级 学号 实验日期 节次 教师签字 成绩 影响RLC 带阻滤波器性能参数的因素的研究与验证 1.实验目的 (1)学习带阻滤波器的设计方法 (2)测量RLC 带阻滤波器幅频特性曲线 (3)研究电阻、电容和品质因素Q 对滤波器性能的影响 (4)加深对滤波器滤波概念的理解 2.总体设计方案或技术路线 (1)理论推导,了解滤波器的主要性能参数及与滤波器性能有关的因素 (2)设计RLC 带阻滤波器电路图 (3)研究电阻R 对于滤波器参数的影响 (4)研究电容C 对于滤波器参数的影响 (5)研究电感L 对于滤波器参数的影响 (6)合理设计实验测量,结合电容C 和电感L 对滤波器参数的影响 (7)将实际测量结果与理论推导作对比,并分析实验结果 3.实验电路图 R1V- V+

4.仪器设备名称、型号 函数信号发生器 1台 FLUKE190-104数字便携式示波表 1台 十进制电阻箱 1只 十进制电容箱 1只 十进制电感箱 1只 5.理论分析或仿真分析结果 带阻滤波器是指能通过大多数频率分量、但将某些范围的频率分量衰减到极低水平的滤波器,与带通滤波器的概念相对。 理想带阻滤波器在阻带内的增益为零。带阻滤波器的中心频率f o,品质因素Q和抑制带宽BW之间的关系为 仿真结果: R=2000Ω C=0.01uf L=0.2H

R=500Ω C=0.01uf L=0.2H

R=2000Ω C=0.05uf L=0.2H

R=2000Ω C=0.01uf L=0.1H R=2000Ω C=0.01uf L=0.5H

改变R时对比图 改变C时对比图 改变L时对比图 6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录) (1)电阻R对于滤波器参数的影响 任务1:电路如图所示,其中信号源输出Us=5V,电容C=0.01uF,电感L=0.2H,根据下表所示,选择不同电阻值测量输出幅频特性

三人表决器实验

三人表决器实验 我们实验采取3种输入方式:原理图方式,VHDL方式,VerilogHDL.你可以只看一种.下面我分别一一介绍 三人表决器的功能描述 三个人分别用手指拨动开关SW1、SW2、SW3来表示自己的意愿,如果对某决议同意,各人就把自己的指拨开关拨到高电平(上方),不同意就把自己的指拨开关拨到低电平(下方)。表决结果用LED(高电平亮)显示,如果决议通过那么实验板上L2(黄灯)亮;如果不通过那么实验板上L1(红灯)亮;如果对某个决议有任意二到三人同意,那么此决议通过,L2亮;如果对某个决议只有一个人或没人同意,那么此决议不通过,L1亮。 采用原理图设计三人表决器 我们根据三人表决器的直值表,可以通过卡诺图化简可以得到: L2=SW1SW2+SW1SW3+SW2SW3 L1=_L2 那么我们可以在MAX+plusII中用原理图实现上面的三人表决器 ~ 下面仅把和VHDL不同的详细写下,相同或基本相同的就一带而过: (1)打开MAX+plusII (2)新建一个图形文件:File菜单>new 新建文件时选择Graphic Editor file

点OK (3)输入设计文件 我们现在在图形文件中输入电路,我们这个电路需要AND2、OR3、NOT三个逻辑门电路和输入输出端,你可以 Symbol ->Enter Symbol(或者双击空白处) 弹出窗口: %

在Symbol Name中输入and2,点OK 同样可以加入or3、input、output、not 对input、output,鼠标左键双击PIN_NAME,那么PIN_NAME被选中,并且变黑,然后输入你要改的名字,如SW1 把元件拖动到合适位置,将光标放到元件的引线出,可以发现光标变为十字星,此时摁住左键就可以进行连线。

哈工大电子技术实验四人无弃权表决电路(高分版)

姓名XXX 班级1108301 学号11108301xx 实验日期 6.5 节次9-11 教师签字成绩 四人无弃权表决电路 1.实验目的 1)掌握74LS20的逻辑功能和使用方法; 2)通过实验,进一步熟悉组合逻辑电路的分析与设计方法。 2.总体设计方案或技术路线 设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),用74LS20来实现。 1)根据任务的要求,设计电路; 2)用代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路; 4)最后,用实验来验证设计的正确性。 3.实验电路图 1)ABCD输入端,接数据开关;Z输出端接电平指示器; 2)改变ABCD的组态,记录Z的变化,验证逻辑函数的功能及设计的正确性。 4. 仪器设备名称、型号 1)实验箱 1台 2)双踪示波器 1台 3)双路直流稳压电源 1台 4)数字万用表 1只 5)74LS20 3片

5.理论分析或仿真分析结果 74LS20管脚图: 逻辑关系式: C AB D Z=ABC+BCD+ACD+ABD=AB BCDACD 逻辑图:

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表:

7.实验结论 由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。 8.实验中出现的问题及解决对策 实验过程中由于有五个与门,而每个74LS20可实现两个与门,故线路连起来相当复杂,容易混淆,故在连接电路时安排好位置,标记好引脚和接头。 9.本次实验的收获和体会、对电路实验室的意见或建议 此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。 这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。 10.参考文献 [1]电工学实验教程/王宇红主编.——北京:机械工业出版社,2009.8(2012.1重印)

三人表决器电路的设计与安装

三人表决器电路的设计与安装

一、实验目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片是什么门集成电路以及芯片的各个引脚都具有哪些功能,我们可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解数字电路的构造原理,这样我们才可以更好地焊好我们想要实现的功能产品以及学好有关数字电路方面的知识。 二、实验要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)会根据自己所仿真的电路原理图画出实物装配图。 三、实验所需元件清单如下表所示: 四、实验产品所需主要芯片介绍 74LS00芯片是常用的具有四组2输入端的与非门集成电路,74LS10芯片是常用的具有三组3输入端的与非门集成电路,他们的作用都是实现一个与非门。其引脚排列分别如下图所示。

74LS00管脚排列图 74LS10管脚排列图 五、三人表决器逻辑电路设计 5.1、设计要求:当A、B、C三人表决某个提案时,两人或两人以上同意,提案通过,否则提案不通过。用与非门实现电路。 设A、B、C三个人为输入变量,同意提案时用输入1表示,不同意时用输入0表示;表决结果Y为输出变量,提案通过用输出1表示,提案不通过用输出0表示。由此可列出真值表,如下表所示。

根据真值表,我们可以写出输出函数的与或表达式,即: 对上式进行化简,得: 将上式变换成与非表达式为: 故,根据输出逻辑表达式,我们可以画出逻辑图为: 5.2、三人表决器电路原理图(仿真图) 我们用发光二极管的状态来表示表决结果通过与否,当发光二级管点亮表示表决结果通过,熄灭表示表决结果不通过。三人A、B、C的表决情况用按钮来实现,按下按钮表示同意,不按表示不同意。 根据上述说明,结合前面的逻辑电路,可得到三人表决器的原理图(仿真图)为: 5.3、三人表决器电路的安装与调试 按安装电路图完成电路的组装后,通上+5v电源,按下输入端A、B、C 的按钮进行不同的组合,观察发光二极管的亮灭,验证电路的逻辑功能。如果 输出结果与输入中的多数一致,则表明电路功能正确,即多数人同意(电路中 用“1”表示),表决结果为同意;多数人不同意(电路中用“0”表示),表决 结果为不同意。 5.4、三人表决器产品正面实物图及反面焊接图 5.5、三人表决器产品实验现象与结果分析 实验现象:当电路板焊接完成后,通上+5v电源,分别同时按下按钮S1、 S2,S2、S3,S1、S3或S1、S2、S3,观察到发光二极管均能点亮,且当分别只按下

哈工大天线实验报告

Harbin Institute of Technology 天线原理实验报告 课程名称:天线原理 班级: 姓名: 学号: 同组人: 指导教师: 实验时间: 实验成绩: 注:本报告仅供参考 哈尔滨工业大学

一、实验目的 1. 掌握喇叭天线的原理。 2. 掌握天线方向图等电参数的意义。 3. 掌握天线测试方法。 二、实验原理 1. 天线电参数 (1).发射天线电参数 a.方向图:天线的辐射电磁场在固定距离上随空间角坐标分布的图形。 b.方向性系数:在相同辐射功率,相同距离情况下,天线在该方向上的辐射功率密度Smax与无方向性天线在该方向上的辐射功率密度S0之比值。 c.有效长度:在保持该天线最大辐射场强不变的条件下,假设天线上的电流均匀分布时的等效长度。 d.天线效率:表征天线将高频电流或导波能量转换为无线电波能量的有效程度。 e.天线增益:在相同输入功率、相同距离条件下,天线在最大辐射方向上的功率密度Smax与无方向性天线在该方向上的功率密度S0之比值。 f.输入阻抗:天线输入端呈现的阻抗值。 g.极化:天线的极化是指该天线在给定空间方向上远区无线电波的极化。 h.频带宽度:天线电参数保持在规定的技术要求范围内的工作频率范围。 (2).接收天线电参数:除了上述参数以外,接收天线还有一些特有的电参数:等效面积和等效噪声温度。 a.等效面积:天线的极化与来波极化匹配,且负载与天线阻抗共轭匹配的最佳状态下,天线在该方向上所接收的功率与入射电波功率密度之比。 b.等效噪声温度:描述天线向接收机输送噪声功率的参数。 2. 喇叭天线 由逐渐张开的波导构成,是一种应用广泛的微波天线。按口径形状可分为矩形喇叭天线与圆形喇叭天线等。波导终端开口原则上可构成波导辐射器,由于口径尺寸小,产生的波束过宽;另外,波导终端尺寸的突变除产生高次模外,反射较大,与波导匹配不良。为改善这种情况,可使波导尺寸加大,以便减少反射,又可在较大口径上使波束变窄。 (1).H面扇形喇叭:若保持矩形波导窄边尺寸不变,逐渐张开宽边可得H面扇

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

哈工大威海计算机网络实验报告1资料

计算机网络与通信实验报告(一)学号姓名班级报告日期 2015.04.15 实验内容网络常用命令的使用 实验目的1.熟悉网络命令的使用,例如ping,tracert,netstat,ipconfig等,对结果进行分析判断。 2.熟悉dns的层次查询,以及smtp协议。 实验预备知识结合实验报告相关知识以及老师课堂演示、笔记。 实验过程描述1.按照实验报告步骤所指,一步步熟悉ping tracert ipconfig 等网络命令,并对结果进行相应分析、截图。 2.Dns层次查询时,首先网上搜索全球13 个根域名服务器的ip,选择其中一个ip 对学校主页https://www.360docs.net/doc/115244497.html, 进行层次分析,依次进行cn https://www.360docs.net/doc/115244497.html, https://www.360docs.net/doc/115244497.html, https://www.360docs.net/doc/115244497.html, 的域名分析,最终得到主页ip,然后使用ping命令ping得主页ip 相比较,结果一致,查询成功。 3.熟悉掌握SMTP协议。Dos 命令下依次输入telnet相关命令,并使用事先转换成base64 的用户名、密码登陆邮箱。登陆成功后给自己的邮箱发送信息,最后退出。操作、邮箱截图如下。 实验结果见表格下方截图。 实验当中问题及解决方法1、telnet命令刚开始dos无法识别,属于不认识的命令。上网查询资料后,在控制面板中设置后成功解决。 2、熟悉SMTP协议时,telnet 登陆邮箱并发送信件,期间出现好多错误,比如单词拼写错误,指令错误。重复多次后最终成功实现。 成绩(教师打分)优秀良好及格不及格

实验相关截图 一、网络命令的使用 1.ping 命令

2.tracert 命令

哈工大电工实验自主设计实验最终报告555组成定时器和计时器

姓名班级学号 实验日期节次教师签字成绩 定时器和计时器 1.实验目的 (1)用555定时器构成1s,10s和60s的定时器。 (2)用两个161芯片构成一个1分钟以内的计时器。 2.总体设计方案或技术路线 (1)通过调节RC的大小来调节555输出脉冲的周期,在低电平触发端2连高电平A,当按下按钮再松开时,就输入了高电平。输出端3连接指示灯。 (2)两个161芯片组成60进制计数器,将两个161芯片的输出连接数码显示管。输入连接到1赫兹的脉冲上。 3.实验电路图 定时1s

定时10s 定时60s

计时器电路4.仪器设备名称、型号和技术指标 555定时器一个 74LS161芯片两个 电阻:240kΩ一个 910kΩ一个 3MΩ一个 3.9MΩ一个 4.7MΩ两个 电容: 1μF一个 2.2μF两个 四引脚LED数码显示管两个 直流稳压电源 1Hz时钟脉冲输入源 实验箱 5.理论分析或仿真分析结果 理论分析:

(1)定时器电路:开关在未动作时是闭合的,连在高电平上,按下开关,开关断开, 接入低电平,然后迅速恢复到闭合状态,输入了一个脉冲,555定时器开始定时,根据555单稳态触发器输出脉冲的宽度公式RC t p 1.1=,通过调节电阻R 和电容C 的值使脉冲的周期为1s,10s 和60s. 当R=910k Ω,C=1μF 时,s t p 001.110101.91.165=???=- 当R=3.9M Ω+240k Ω=4.14M Ω,C=2.2μF 时,s t p 02.10102.21014.41.166=????=- 当R=4.7M Ω×2+3M Ω=12.4M Ω,C=4.4μF 时, s t p 016.60104.41024.11.167=????=- 6. 详细实验步骤及实验测量数据记录(包括各仪器、仪表量程及内阻的记录) 安装555芯片、74LS00和两个74LS161芯片,调节直流稳压电源输出5V 电压,接到实验箱上。 (1)将555芯片的8引脚和4引脚相连,再连接到+5V 电源上,将1引脚接地,将8引脚连接910k Ω电阻上,将电阻另一侧连接到6引脚,将6引脚连接到7引脚,将7引脚连接到1μF 电容上,再将电容另一侧接地。将2引脚接逻辑开关A ,将5引脚连接到0.01μF 电容上,再将电容另一侧接地。将引脚3连接到电平指示灯上。 开通直流稳压电源,按下逻辑开关A ,记录电平指灯点亮的时间,为1.0s 。 关闭直流电源。 将3.9M Ω和240k Ω的电阻串联,将连在910k Ω两端的导线连接到两个串联电阻上,将连接在1μF 电容两端的导线连接到2.2μF 电容两端,并将电容接地。 开通直流稳压电源,按下逻辑开关,记录时间9.7s 。 关闭直流电源。 将两个4.7M Ω和一个3M Ω电阻串联,用它代替3.9M Ω240k Ω串联电阻连入到电路中,将两个2.2μF 电容并联。 开通直流稳压电源,按下逻辑开关,记录时间为58.8s 。 关闭直流电源。 (2)将两个161芯片的16引脚连到+5V 电源上,将8引脚接地。将74LS00芯片的14引脚接到+5V 电源,7引脚接地。将第一个161芯片的2引脚接到1Hz 的时钟脉冲上,11、12、13、14引脚分别连到第二个数码显示管的D 、C 、B 、A 上,并11和13引脚连接到00芯片的1和2引脚,将00芯片的3引脚连接到第一个161的1引脚和第二个161的2引脚;将第二个161芯片的11、12、13、14引脚连接到第一个数码显示管的D 、C 、B 、A 上将12

哈工大数字电路实验报告实验二

数字逻辑电路与系统上机实验讲义 实验二时序逻辑电路的设计与仿真 课程名称:数字逻辑电路与系统 院系:电子与信息工程学院 班级:1205102 姓名: 学号:1120510 教师:吴芝路 哈尔滨工业大学 2014年12月

实验二时序逻辑电路的设计与仿真3.1实验要求 本实验练习在Maxplus II环境下时序逻辑电路的设计与仿真,共包括6个子实验,要求如下: 节序实验内容要求 3.2同步计数器实验必做 3.3时序电路分析实验必做 3.4移位寄存器实验必做 3.5三人抢答器实验必做 3.6串并转换电路实验选做 3.7奇数分频电路实验选做

3.2同步计数器实验 3.2.1实验目的 1.练习使用计数器设计简单的时序电路 2.熟悉用MAXPLUS II仿真时序电路的方法 3.2.2实验预习要求 1.预习教材《6-3计数器》 2.了解本次实验的目的、电路设计要求 3.2.3实验原理 计数器是最基本、最常用的时序逻辑电路之一,有很多品种。按计数后的输出数码来分,有二进制及BCD码等区别;按计数操作是否有公共外时钟控制来分,可分为异步及同步两类;此外,还有计数器的初始状态可否预置,计数长度(模)可否改变,以及可否双向等区别。 本实验用集成同步4位二进制加法计数器74LS161设计N分频电路,使输出信号CPO的频率为输入时钟信号CP频率的1/N,其中N=(学号后两位mod 8)+8。下表为74LS161的功能表。 CLR N LDN ENP ENT CLK D C B A QD QC QB QA CO 0----------------00000 10----↑D C B A D C B A0 1111↑--------加法计数0 1111↑--------11111 110------------QD n QC n QB n QA n 11--0---------- 3.2.4实验步骤 1.打开MAXPLUS II,新建一个原理图文件,命名为EXP3_ 2.gdf。 2.按照实验要求设计电路,将电路原理图填入下表。

三人多数表决电路教学提纲

三人多数表决电路

实验十九三人多数表决电路的设计 一、设计目的 1、掌握用门电路设计组合逻辑电路的方法。 2、掌握用中规模集成组合逻辑芯片设计组合逻辑电路的方法。 3、要求同学们能够根据给定的题目,用几种方法设计电路。 二、设计要求 1、用三种方法设计三人多数表决电路。 2、分析各种方法的优点和缺点。 3、思考四人多数表决电路的设计方法。 要求用三种方法设计一个三人多数表决电路。要求自拟实验步骤,用所给芯片实现电路。 三、参考电路 设按键同意灯亮为输入高电平(逻辑为1),否则,不按键同意为输入低电平(逻辑为0)。输出逻辑为1表示赞成;输出逻辑为0表示表示反对。 根据题意和以上设定,列逻辑状态表如表19-1。 A B C F 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1 1 1 1 4、6、7、8 项。故,表决器的辑逻表达式应是:

从化简后的逻辑表达式可知,前一项括号中表达的是一个异或门关系。因此,作逻辑图如下。 图19—1 三人表决电路 经常用来设计组合逻辑电路的MSI芯片主要是:译码器和数据选择器。设计步骤前几步同上,写出的逻辑函数表达式可以不化简,直接用最小项之和的形式,然后根据题目要求选择合适的器件,并且画出原理图实现。 四、实验设备与器件 本实验的设备和器件如下: 实验设备:数字逻辑实验箱,逻辑笔,万用表及工具; 实验器件:74LS00、74LS20、74LS138、74LS153等。 五、实验报告要求 1、写出具体设计步骤,画出实验线路。 2、根据实验结果分析各种设计方法的优点及使用场合。

模电自主设计实验哈工大模电实验课

可调频可调幅的方波-三角波-正弦波函数发生器的设计 姓名:胡车班号:1001101 学号:17 日期:2012-6-1 一、实验目的 1、掌握函数发生器的主要性能。 2、掌握函数发生器的基本测试方法。 3、学会函数发生器的设计。 4、学会函数发生器的调试方法。 5、设计一方波-三角波-正弦波函数发生器。 性能指标:(1)、频率范围:1-2500HZ (2)、方波Uo1pp≦14.1V 三角波Uo2pp≦7.0V 正弦波Uo3pp≦13,1V 二.总体设计方案或技术路线 本实验通过集成运算放大器uA741或者LM324组成下图所示的方波-三角波-正弦波函数发生器的设计方法。本试验先通过比较器产生方波,再通过积分器产生三角波,最后通过二阶有源滤波器电路产生正弦波。其电路组成图框如下图。 电路工作原理如下:运算放大器A1与R1、R4、R5 比电压较器,方波可通过此电路获得,三角波发生器有滞回比较器与 积分器闭环组成,积分器A2的输出反馈滞回比较器A1,作为滞回比较 器的输入。 2、三角波-正弦波产生电路(电路原理图在第三项给出,不在此处给出) 电路工作原理:如电路图所示低通滤波器由两个RC滤波环节与同相比例运算电路组成,其中第一级电容C接至输出端,引入适量的正反馈,以改善幅频特性,此电路通过低频,衰减或抑制高频信号。

三.实验电路图 此电路图由比较器、积分器与二阶有源滤波器组成分别可产生方波、三角波与正弦波,其中可通过电位器与单刀双掷开关进行幅度与频率调整。 各元件参数如下:R1=2K R4=200K R5=100K R6(max)=R8(max)=1O0K R3=R7=R9=5.1K R10=R11=47K(或者39K) C1=C2=C3=0.1uF 四. 仪器设备名称、型号 1、电路实验板 2块 2、双踪示波器 1台 3、双路直流稳压电源 1台 4、数字万用表 1台 5、芯片u741 3只

三人表决器设计

西安电子科技大学 《数字电子技术》课程设计 题目三人表决器设计 学生姓名 专业班级通信工程 学号2011 院(系)信息工程学院 指导教师邓国辉 完成时间 2013年11月29日 手机号码

目录 1课程设计的目的 (1) 2课程设计的任务与要求 (1) 3设计方案与论证 (2) 3.2 表决方案的选择 (2) 3.3显示方案的选择 (3) 4设计原理及功能说明 (3) 4.1 三人表决器的原理框图 (3) 4.2 三人表决器基本原理图 (3) 5单元电路的设计 (4) 5.1 触发电路设计 (4) 5.2 表决电路设计 (5) 5.3 计数部分电路设计 (6) 6硬件的制作与调试 (7) 6.1 硬件的制作 (7) 6.2 硬件的调试 (8) 7总结 (8) 参考文献 (10)

附录1:总体电路原理图 (11) 附录2:元器件清单 (12)

1课程设计的目的 1、记住逻辑代数的基本定律和常用公式; 2、会用公式法和卡诺图法化简逻辑函数; 3、会识别、选购常用电路元、器件,掌握常用电路元器件的检测方法; 4、掌握逻辑门电路的逻辑功能与主要参数的测试和使用方法; 5、能合理利用门电路设计表决器; 6、能熟练掌握电路原理,及时调试和排除故障。 2课程设计的任务与要求 1、熟悉各集成逻辑元件的性能和设置元件的参数。 2、对电路图的原理进行分析,并对原理图进行改良,用仿真软件进行仿真调试,弄清楚电路的工作原理。 3、元件安装符合工艺要求,既考虑其性能又应美观整齐。焊接元件要注意焊点的圆润。 4、对元件的性能进行评估和替换、用性能和使用范围更好,更常用的元件进行替换,使自己实际的元件更接近实际使用。 5、学习数字逻辑电路的设计方法。 6、熟知74ls74、74ls08、74HC4075、74ls373各引脚的功能及内部结构。 7、学会使用各集成芯片组成逻辑电路。 8、学会真值表与逻辑表达式及的转换,能根据化简后的逻辑表达式画出逻辑电路。 9、完成“三人表决器”的逻辑设计,及组合电路的设计。

三人表决器实验报告

电子技术与仿真 项目报告 项目名称:三人表决器的制作 专业班级:电气1 2 1 姓名:刘斌 学号: 2 4 指导教师:张琴 江西工业工程职业技术学院电工电子实训中心

一、项目目的 正确理解数字电路的要求,能知道与门、或门、非门的含义;做到了解要用到的每个芯片的引脚是什么门电路,可以去图书馆查阅书籍也可上网去阅读相关的网页资料。做到能真正了解电路的构造原理,这样才可以更好学好这门电路的元器件的应用。 二、项目要求 1)判断正确的引脚位置; 2)理解数字电路的原理,掌握操作步骤,能正确安装所选定的电路; 3)掌握测试仪表仪器检测原件的使用及调整; 4)会根据测试结果分析故障产生的原因; 5)会利用原理图纸,判断具体故障的原因; 6)必须得出实验的仿真结果; 7)根据自己所仿真的电路原理图画出实物装配图。 三、项目内容 1、原理图 2、工作原理介绍 电路由74LS08的三个与门电路和74LS32两个或门电路构成,当A、B、C中任意两人按下按钮后,工作电路向74ls08中任意一个与门电路输入端输入两个高电平,输出端Y输入进74ls32任意一个或门电路中;电路只要满足一个条件即输出端有电压输

出;所以发光二极管能点亮。 3、元件清单 4、芯片 ① 74LS08 实物图 引脚图 真值表 元件序号 主要参数 数 量 R1 1K Ω 3 R2 360Ω 1 U1 74LS08 1 U2 74LS32 1 按钮开关 3 发光二极管 1 A B Y 0 0 0 0 1 0 1 0 0 1 1 1

实物图引脚图 真值表 5、电路板安装 A B Y 000 011 101 111

哈工大自主设计实验

姓名邱耀班级11108111 学号1110811025 实验日期06,06 节次9-10 教师签字成绩 十进制计数器报警装置 1.实验目的 (1)掌握与非门及组合逻辑电路的基本逻辑功能及使用方法; (2)掌握74LS161芯片的逻辑功能及使用方法; (3)掌握74LS00芯片的逻辑功能及使用方法; (4)掌握74LS20芯片的逻辑功能及使用方法; 2.总体设计方案或技术路线 (1)通过74LS161芯片将输入的信号传递至LED,并显示计数; (2)将输出的信号通过与非门逻辑电路传递至信号指示灯,当LED计数满时灯 亮报警

3.实验电路图 D 03Q 014D 14Q 113D 25Q 212D 3 6 Q 311R C O 15 E N P 7E N T 10C L K 2L O A D 9M R 1 U1 74LS161 1 2 3 U2:A 74LS00 4 5 6 U2:B 74LS00 10 9 8 U2:C 74LS00 12 45 6 U3:A 74LS20 13 12 11 U2:D 74LS00 D1 LED A M F M + - v c c 5v 4.仪器设备名称、型号 仪器名称 数量 74LS161 1 74LS20 1 74LS00 1 5.理论分析或仿真分析结果 LED 灯从0到9依次计数,到计数满一个周期时,灯会亮,报警 6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录) 实验步骤:按照电路图连线,打开信号输入开关,观察现象并记录;

7.实验结论 8.实验中出现的问题及解决对策 9.本次实验的收获和体会、对电路实验室的意见或建议 10.参考文献 《电工实验教程》 《电工学中册》

哈工大数学实验实验报告

实验一 2(1)(a) 程序语句: a=[-3 5 0 8;1 -8 2 -1;0 -5 9 3;-7 0 -4 5]; b=[0;2;-1;6]; inv(a)*b (b) 程序语句: a=[-3 5 0 8;1 -8 2 -1;0 -5 9 3;-7 0 -4 5]; b=[0;2;-1;6]; a\b (2)

4个矩阵的生成语句: e=eye(3,3); r=rand(3,2); o=zeros(2,3); s=diag([1,2]);%此为一个任取的2X2 矩阵 矩阵a 的生成语句: a=[e r;o s] 验证语句: a^2 b=[e r+r*s; o s^2]

(3)(a) 生成多项式的语句:poly ([2,-3,1+2i,1-2i,0,-6]) (b) 计算x=0.8,-x=-1.2 之值的指令与结果: 指令:polyval([1,5,-9,-1,72,-180,0],0.8) 指令:polyval([1,5,-9,-1,72,-180,0],-1.2)

(4) 求a的指令与结果:指令:a=compan([1,0,-6,3,-8]) 求a的特征值的指令与结果:指令:eig(a) roots(p)的指令与结果为: 指令:roots([1,0,-6,3,-8])

结论:利用友元阵函数a=company(p) 和eig(a) 可以与roots(p)有相同的作用,结果相同。 (5) 作图指令: x=0:0.01:1.5; y=[x.^2;x.^3;x.^4;x.^5]; plot (x,y) 作图指令: x=0:0.01:10; y1=x.^2; y2=x.^3; y3=x.^4; y4=x.^5; subplot(2,2,1),plot (x,y1),title('x^2') subplot(2,2,2),plot (x,y2),title('x^3') subplot(2,2,3),plot (x,y3),title('x^4') subplot(2,2,4),plot (x,y4),title('x^5')

哈工大数电实验预考核

Read me: 1.用法,出现在题干里的选项是正确选项,出现在选项下面的选项是错误选项。 2.大部分题看看实验视频就可以得出结果,考前一定看视频别过分依赖这机经。 3.题库不全,有些题只排除部分错误答案,没得出正确答案,因为在那之后我已经通过预考核,没法遇上同样的题,错过了就错过了。 4.祝PRC 65周年生日快乐。 ·实验一组合数字电路基础实验 (开放时间:2014/10/8至2014/10/18)试题2、本次实验芯片的供电电源电压为_A___。 ?A:+5V ?B:+12V ?C:±12V ?D:±5V 试题3、搭接本次组合数字电路实验时,应将芯片插在_D_。 ?A:单级放大电路子板 ?B:集成运算放大电路子板 ?C:面包板 ?D:EEL-69实验平台右侧芯片座 试题1、74LS00芯片的每个与非门为几输入与非门 ?A:1个输入

?B:2个输入 ?C:3个输入 ?D:4个输入 bd 试题2、做本次数字电路实验,在EEL—69实验箱上选哪一路接线柱C A:12V、GND ?B:+5V、-5V ?C:+5V、GND ?D:-5V、GND 试题3、74LS151芯片是: D ?A:与非门 ?B:8选1数据选择器 ?C:4选1数据选择器 ?D:双4选1数据选择器 试题4、 C 1)A:1) ? 2)B:2) ? 3)C:3) ?

试题1、74LS00芯片包含几个与非门 D ? 1个与非门 A :1个与非门 ? 2个与非门 B :2个与非门 ? 3个与非门 C :3个与非门 ? 4个与非门 D :4个与非门 试题5、74LS20芯片包含几个与非门 B ? 1个与非门 A :1个与非门 ? 2个与非门 B :2个与非门 ? 3个与非门 C :3个与非门 ? 4个与非门 D :4个与非门 试题3、C ? 1) A :1) ? 2) B :2) ? 3) C :3) 试题3、组合数字电路的输出采用下面何种设备测试D ? 信号发生器 A :信号发生器 ? 万用表 B :万用表 ? 示波器 C :示波器 ? EEL-69实验平台 D :EEL-69实验平台发光二极管

相关文档
最新文档