《数字电路》课设彩灯循环控制电路设计

《数字电路》课设彩灯循环控制电路设计
《数字电路》课设彩灯循环控制电路设计

《数字电子技术课程设计》报告

——彩灯循环控制电路设计

摘要

本次电路设计利用555定时器、计数器等设计LED彩灯控制电路。通过按键实现如下循环特性:当按键没有按下时8个彩灯交叉循环点亮:即在前四秒内第1、3、5、7盏灯依次点亮、后四秒内8、6、4、2盏灯依次点亮,而当按键按下一次后(按下两次等效于没有按下),实现8盏灯依次循环点亮(产生灯光追逐音乐、活跃气氛的效果),并设计成同步电路模式。

用555定时器设计的多谐振荡器来提供时序脉冲,其优点是在接通电源之后就可以产生一定频率和一定幅值矩形波的自激振荡器,而不需要再外加输入信号。由于555定时器内部的比较器灵敏度较高,而且采用差分电路形式,这样就使多谐振荡器产生的振荡频率受电源电压和环境温度变化的影响很小。之后脉冲信号输入到计数器,同时将计数器输出端QC、QB、QA接到译码器的输入端,当译码器输出电平为低电平时,与其相连接的LED会变亮。LED采用共阳极连接,并串上500Ω的电阻。电路由按键SPST_NC_SB控制,使彩灯进入到不同的循环模式。

电路图连接好后,经Multisim软件调试测试,电路可以实现设计要求,即实现从题中要求的交叉循环显示和音乐序列的循环显示。整体电路采用同步电路模式,采用TTL集成电路,电压V

均为5V。运用了所学的555定时器、译码器、计数器与逻辑门

cc

电路等相应的电路器件,提高了对于数字电子技术这门专业基础课的认识与理解,在

实践中发现不足,努力改正,提高了我自学、创新等能力,同时我们也掌握了相应设计电子电路的能力,有利于今后对于专业课程的学习。

关键词:555定时器计数器译码器彩灯循环控制

目录

引言.................................................... 1.课程设计目的........................................... 2.课程设计要求........................................... 3.电路组成框图........................................... 4.元器件清单............................................. 5.各功能块电路图.........................................

5.1 脉冲信号发生器.....................................

5.1.1 555定时器.....................................

5.1.2 多谐振荡器 ....................................

5.2 顺序脉冲发生器.....................................

5.3 彩灯循环系统....................................... 6.仿真电路总图........................................... 7.结果分析............................................... 8.总结................................................... 参考书目.................................................. 附录......................................................

引言

数字电子技术实验是一门重要的实践性技术基础课程,开设本课程的目的在于使学生理论联系实际,在老师的指导下完成大纲规定的实验任务。开发我们分析问题或解决问题的能力,培养严谨的工作作风,实事求是的科学态度,刻苦钻研、勇于探索和创新的开拓精神以及遵守纪律、团结协作和爱护公物的优良品质。

近年来,由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。在设计中更多的使用中规模集成电路,不仅可以减少电路组件的数目。使电路简捷。而且能提高电路的可靠性,降低成本。因此用集成电路来实现更多更复杂的器件躬耕则成为必然。

现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中。绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现彩灯控制器主要用计数器,译码器,分配器和移位寄存器等集成。

流水灯是一串按一定的规律像流水一样连续闪亮的灯的组合,流水灯控制是可编程控制器的一个应用,其控制思想在工业控制技术领域也同样适用。流水灯控制可用多种方法实现,如单片机I/O口控制,双向位移寄存器控制,计数器循环控制等,本次选用计数器和译码器做控制电路,其脉冲产生由555定时器构成的多谐振荡器构成,再通过三线八线译码器输出给发光二极管,实现了所需要的功能。

1.课程设计目的

1)巩固和加强《数字电子技术基础》课程的理论知识;

2)掌握电子电路的一般设计方法,了解电子产品研制开发过程;

3)掌握电子电路安装和调试及故障排除方法,学会用Multisim软件对进行电路仿真操作;

4)通过查阅手册和文献资料,提升独立分析问题和解决问题的能力;

5)培养创新能力和创新思维。

2.课程设计要求

1)提出设计方案;要根据指导教师布置的课题,学会找参考书籍、查阅手册、图表和文献资料等。通过独立思考,深入钻研有关问题,学会自己分析解决问题的方法;

2)通过实际电路方案的分析比较、设计计算、元件选取、安装调试等环节,初步掌握简单实用电路的分析方法和工程设计方法;

3)学习电子设计自动化EDA(Electronic Design Automation)工具Multisim并设计电路图,功能仿真;

4)掌握常用仪器设备的正确使用方法,学会简单电路的实验调试和整机指标测试方法,提高动手能力,能在教师指导下,完成课程任务。按任务要求,设计电路,计算参数,选择元器件。根据所设计的电路和所选择的元器件制板,焊接安装电路,并按照调试步骤进行调试。逐步排除故障最终达到设计要求;

5)撰写设计报告,写出设计与制作的全过程,附上有关资料和图纸及心得体会了解与课题有关的电子线路以及元器件工程技术规范。

6)培养严肃认真的工作作风和科学态度。通过课程设计实践,逐步建立正确的生产观念、工程观念和全局观点;

7)利用555定时器、计数器等设计LED彩灯控制电路。要求有原理电路、EDA仿真和实际电路;

8)彩灯循环控制的功能要求为:通过按键实现如下循环特性,当按键没有按下时8个彩灯交叉循环点亮,即在前四秒内第1、3、5、7盏灯依次点亮,后四秒内8、6、4、2盏灯依次点亮,而当按键按下一次后(按下两次等效于没有按下),实现8盏灯依次循环点亮(产生灯光追逐音乐、活跃气氛的效果);

9)要求设计成同步电路模式;

10)参考器件:该电路可由555定时器、同步4位二进制计数器74HCl61,3线-8线译码器/分配器74HC138等组成。也可由学生自行选择器件。

3.电路组成框图

图1 基本电路框架原理图

4.元器件清单

表1 元器件清单一览表

5.各功能块电路图

5.1 脉冲信号发生器

5.1.1 555定时器

555定时器是由比较器C 1和C 2,基本RS 触发器和集电极开路的放电三极管

T D 三部分组成。

V H 是比较器C1的输入端,v 12是比较器C 2的输入端。C 1和C 2的参考电压V R1和V R2由V CC 经三个五千欧电阻分压给出。在控制电压输入端V CO 悬空时,

V R1=2/3V CC ,V R2=1/3V CC 。如果V CO 外接固定电压, 图2 555定时器逻辑符号 则V R1=V CO ,V R2=1/2V CO 。

R D 是置零输入端。只要在R D 端加上低电平,输出端v 0便立即被置成低电

平,不受其他输入端状态的影响。正常工作时必须使R D 处于高电平。图2中的

数码1—8为器件引脚的编号。

555定时器是一种中规模集成电路,如图3所示,只要在外部配上适当阻容元件,就可以方便地构成脉冲产生和整形电路。

图3 555定时器内部结构图

(A) 电路组成

555集成定时器由五个部分组成:

1) 基本RS 触发器:由两个“与非”门组成

2) 比较器:C1、C2是两个电压比较器

3) 分压器:阻值均为5千欧的电阻串联起来构

成分压器,为比较器C1和C2提供参考电压。

4) 晶体管开卷和输出缓冲器:晶体管VT 构成开关,其状态受Q 端控制。输出缓冲器就是接在输出端的反相器G3,其作用是提高定时器的带负载能力和隔离负载对定时器的影响。

(B) 基本功能

当0=R 时,1=Q ,输出电压OL o V V =为低电平,VT 饱和导通。

当1=R 时,CC TH V V 32>时,CC TR V V 31>时,C1输出低电平,C2输出高电平,1=Q ,Q =0,OL o V v =,D T 饱和导通。

当1=R 、CC TH V V 3

2<、CC TR V V 31>时,C1、C2输出均为高电平,基本RS 触发器保持原来状态不变,因此o v 、VT 也保持原来状态不变。

当1=R 、CC TH V V 3

2<、CC TR V V 31<时,C1输出高电平,C2输出低电平,0=Q ,Q =1,OH o V v =,VT 截止。 输 入

输 出 阈值输入(v I1) 触发输入(v I2) 触发输入(v I2)

输出() 放电管T ×

× 0 0 导通

1 1 截止

1 0 导通 1

不变 不变 表2 555定时器逻辑功能表

5.1.2 多谐振荡器

产生信号脉冲的方法很多,这里选用的是多谐振荡器。它可以在接通电源后产生一定频率和一定幅值的矩形波,用作脉冲信号源。由于555定时器内部的比较器灵敏度较高,而且采用差分电路行式,用555定时器组成的多谐振荡器振荡频率手电源电压和温度变化的影响很小,使产生的波形更稳定,所以我们选用555定时器组成多谐振荡器。其内部结构如图4所示:

图4 多谐振荡器内部结构图

接通电源后,电容C1被充电,当Vc 上升到2/3Vcc 时,使Vo 为低电平,同时555定时器内部的放电三极管T 导通,此时电容C1通过R2和三极管放电,Vc 下降。当Vc 下降到1/3Vcc 时,Vo 翻转为高电平。电容C1放电所需时间为

T2=R2*C1*ln2≈0.7R2*C1

当放电结束是,T截止,Vcc通过R1、R2 及电容C1充电,Vc由1/3Vcc上升到2/3Vcc所需时间,即为电容C1充电所需时间为

T1=(R1+R2)*C1*ln2≈0.7(R1+R2)*C1

当Vc上升到2/3Vcc时,电路又翻转为低电平。如此周而复始,于是,在电

路的输出端就得到一个周期性的矩形波。

T=(R1+2R2)*C1*ln2≈0.7(R1+2R2)*C1

其工作波形如图5所示:

图5 多谐振荡器工作波形

电容C2取10nF,将电源Vcc中杂质成分滤除,起到抗干扰作用。

考虑到彩灯循环点亮的时间要求,故设计出多谐振荡器的振荡周期为1s,即振荡频率为1Hz。由于T=(R1+2R2)*C1*ln2≈0.7(R1+2R2)*C1=1s,取C1=1μF,

可得R1+2R2=1442 kΩ,取R1=442kΩ,R2=500kΩ。占空比q=( R1+R2)/ (R1+2R2) ≈0.65,将各原件按照电路图所示与555定时器相连接,即得到所需多谐振荡

器,从而产生脉冲信号。

5.2 顺序脉冲发生器

在设计中需要系统按照事先规定的顺序进行一系列的操作。这就要求统的

控制部分能给出一组在时间上有一定先后顺序的脉冲信号,再用这组脉冲形成

所需要的各种控制信号。顺序脉冲发生器就是用来产生这样一组顺序脉冲的电

路。

本题采用计数器和译码器组合成顺序脉冲发生器,其电路图如图6所示。

所示电路是用4位同步二进制计数器74LS161和3线-8线译码器74LS138构成

顺序脉冲发生器电路。图中以74LS161的低3位输出 QC、QB 、QA作为

74LS138的3位输入信号。

由74LS161的功能表可知,为使电路工作在计数状态,LOAD、CLR、ENP和ENT均应接高电平。由于它的低3位触发器是按八进制计数器连接的,所以在连

接输入CLK信号的情况下,QC QB QA 的状态将按 000一直到 111 的顺序反复

循环,并在译码器输出端依次输出 Y0’至 Y7’的顺序脉冲。

图6 顺序脉冲发生电路

按照题目要求,通过按键实现如下循环特性,当按键没有按下时8个彩灯交叉循环点亮,即在前四秒内第1、3、5、7盏灯依次点亮,后四秒内8、6、4、2盏灯依次点亮,而当按键按下一次后(按下两次等效于没有按下),实现8盏灯依次循环点亮(产生灯光追逐音乐、活跃气氛的效果)。可知,当按键没有按下时,74LS138(U2)工作,此时,74LS138(U5)不工作,则输出电平均为高电平,将74LS138(U2)输出端的依次与74LS138(U5)输出端的

Y0’Y2’Y4’Y6’Y7’

Y5’Y3’Y1’做与运算,后分别连接到对应的LED的阴极上。此时做与运算对74LS138(U2)输出的电平无影响,此时,在前四秒内第1、3、5、7盏灯依次点亮,后四秒内8、6、4、2盏灯依次点亮。当按键按下之后,同理可知,LED的亮与灭由74LS138(U5)输出端的电压决定。译码器的输入端CBA由000变化到111的过程中,输出端只有一端输出低电平,此时对应连接的LED会亮。由多谐振荡器输入脉冲信号,计数器工作,其输出端QC、QB、 QA的依次累加,是译码器的输出端依次变为低电平,从而实现了LED的循环闪烁。

例如,当74LS138(U2)工作时,计数器的输出端QC、QB、QA为000时,则译码器的输入端CBA也为000,此时Y0’低电平,由于另一个译码器未工作,则其输出端输出均为高电平,求与运算,不影响对LED的控制,此时LED1亮,当下一个时序脉冲输入到计数器是,则QC、QB、QA变为001,从而使LED3亮,其余不亮,以此类推,实现循环。

计数器(74LS161)和译码器(74LS138)的逻辑功能:

1)计数器(74LS161)

74LS161是常用的4位二进制可预置的同步加法计数器,如下图:

图7 74LS161D的管脚图

从74LS161功能表功能表中可以知道,当清零端CLR=“0”,计数器输出QD、QC、QB、QA立即为全“0”,这个时候为异步复位功能。当CLR=“1”且LOAD=“0”时,在CLK信号上升沿作用后,74LS161输出端QD、QC、QB、QA的状态分别与并行数据输入端D,C,B,A的状态一样,为同步置数功能。而只有当CLR=LOAD=ENP=ENT=“1”、CLK脉冲上升沿作用后,计数器加1。74LS161还

有一个进位输出端RCO。合理应用计数器的清零功能和置数功能,一片74LS161可以组成16进制以下的任意进制分频器。

2)译码器(74LS138)

译码器(74LS138)是常用的3 线-8 线译码器,如下图:

图8 74LS138N的管脚图

74LS138有三个附加的控制端,当输入为高电平(G1=1),译码器处于工作状态。否则,译码器被禁止,所有的输出端被封锁在高电平。这三个控制端也叫做“片选”输入端,利用片选的作用可以将多篇连接起来以扩展译码器的功能。带控制输入端的译码器又是一个完整的数据分配器。

74LS138 为3 线-8 线译码器,共有 54/74S138和 54/74LS138 两种线路结构型式,其工作原理如下:当一个选通端(G1)为高电平,另两个选通端(/(G2A)和/(G2B))为低电平时,可将地址端(A、B、C)的二进制编码在一个对应的输出端以低电平译出。

利用 G1、/(G2A)和/(G2B)可级联扩展成 24 线译码器;若外接一个反相器还可级联扩展成 32 线译码器。若将选通端中的一个作为数据输入端时,

74LS138还可作数据分配器。

5.3 彩灯循环系统

彩灯循环系统是整个设计的最后的显示部分,也是最直观明显的显示设计成果的关键。将顺序脉冲发生器产生的顺序脉冲加到彩灯上之后,可以使彩灯产生循环闪烁的效果。

图9 彩灯循环电路

8个LED采用共阳极接法,输入电压为5V,并串联500Ω,作为保护电阻使用,以免LED的电压过大。所以在使用LED时,要接上拉或下拉电阻,选一个适中的,这是要根据发光二极管正向内阻,发光二极管正向电压降、正常工作电流决定的。

图10 发光二极管参数

由图10可以看到发光二极管正向压降为1.66V,正常工作电流为5mA,当选择500Ω电阻。发光二极管正向内阻为1.66V/5mA=332Ω,而5*{332/(332+500)}

≈1.995V>1.66V,发光二极管会发光。如图11所示,二极管两端电压也会稳定在1.66V(阈值电压)。

图11 发光二极管的正向压降测试电路

此时,当译码器输出端输出为低电平时,对应的LED就会变亮,在下一次脉冲来临之前,将一直保持。当进入到下一次脉冲时,译码器的另一个输出端变为低电平,此时另一个LED会变亮,如此循环。

6.仿真电路总图

图12 仿真电路模拟

7.结果分析

对电路进行仿真模拟,每间隔1s,LED变换一次,如此循环闪烁。与多谐振荡器的振荡周期一致,符合预期。当按键没有按下时8个彩灯交叉循环点亮,即在前四秒内第1、3、5、7盏灯依次,后四秒内8、6、4、2盏灯依次点亮,而当按键按下一次后(按下两次等效于没有按下),实现8盏灯依次循环点亮(产生灯光追逐音乐、活跃气氛的效果)。采用同步电路模式,运用相应的芯片,基本实现了彩灯循环闪烁的功能。当多谐振荡器产生时序脉冲信号时,计数器被触发会产生顺序脉冲信号,彩灯的状态也会随着脉冲信号的改变而改变。

图13 电路仿真模拟图

8.总结

本次课程设计是彩灯循环控制电路的设计。我们按照老师提示和题目要求,运用555计时器构成多谐振荡器来产生脉冲信号,由计数器和译码器对产生顺序脉冲,最后来驱动LED,实现了彩灯的循环闪烁。

采用的方法简单易行,便于理解,成本较低。但我们也意识到方法太过单一,能实现的功能十分有限。其实,对于实现同一功能,我们会有不同的选择,本题设计时,彩灯与彩灯之间的显示时间间隔还可以通过改变信号的频率

来改变,当然,也可以采用分频器进行设计。简洁也就是我们所希望做到的设计风格。

在使用Multisim做仿真时,用555多谐振荡电路产生的脉冲信号太慢。开始一直以为是连线或者参数选择错误,检查验证了很多遍,后来通过上网查阅资料才知道Multisim10的传递函数普遍偏慢,无法产生预想的脉冲频率,故用电压脉冲方波信号代替。总电路图画好后还出现了很多问题,但是在不断的思考和改善电路后,终于得出预想的结果。在这过程中,我学到了如何利用Multisim等学习软件实现数字电路的设计仿真。

从电路图的设计、实现、仿真到实验报告,我们都有自己的努力,在这短短的几天内,从课本理论知识到实际的理解,从题目中的简单计算到设计运用中的精心推敲,我们把数电知识的学习上升了一个层次,真正赋予了这门课程实际意义与价值。我们充分认识到理论结合实际的重要性,在设计过程中,我们依然会遇到一些难题,这暴露了我们理论知识掌握不够全面的弱点。于是,遇到难题的时候,我们及时回归理论,向书本和网络求教,这种互为补充的过程帮助我们发现不足,完善自己的只是构架,体现了理论与实践并行的意义。希望这次设计实践是一次全新的开始,我们可以在此基础上提高创新意识,发散创新思维,在专业方面做得更好!

参考书目:

[1] 阎石,《数字电子技术基础》,北京,高等教育出版社,2004年

[2] 董玉冰,《Multisim 9在电工电子技术中的应用》,北京,清华大学出版社,2008年

[3] 许小军,《数字电子技术实验与课程设计指导》,南京,东南大学出版社,2007年

[4] 尤佳,《数字电子技术实验与课程设计》,北京,机械工业出版社,2014年

附录

思考题

1)在数字电路设计中,对单元电路性能进行了哪些分析,遇到了哪些问题?怎样解决的?

脉冲信号发生器:通过示波器进行输出信号的检测

问题1:分析由555计时器构成多谐振荡器的输出波形时,由于电阻和电容选择不当,导致生成的波形频率特别大,无法观察?

解决:查阅资料后发现频率与电容与电阻有关,后确定要产生频率为1Hz 的振荡脉冲,故选取C1=1μF, R1=442kΩ,R2=500kΩ,从而有效的解决了问题。

顺序脉冲发生器:用红色的逻辑探针来检验电路的正确与否

问题1:通过按键控制译码器只同时工作一个,控制译码器G1端的输入电压的大小来实现,开始没有找到合适的开关?

解决:为保证设计可以继续进行,用单刀单掷开关进行替代,最后在同学的帮助下,找到合适的按键SPST_NC_SB,只是电路得以呈现。

问题2:开始将16进制计数器转化为8进制计数器,使QC QB QA的状态从000到111变化,采用同步置数法完成了上述过程。

解决:在进行电路简化的过程中发现16进制计数器在技术的过程中,相当于QC QB QA的状态从000到111变化了两次,从而减少一个逻辑与非门的使用,达到了预期简化电路的目的。

问题3:由于本题要求LED的变化有两种形式,用一个按键来控制,在实现了一种变化后,对于如何实现按键控制陷入困境?

解决:由于当一个译码器的G1端不输入高电平时,其输出端经测试可知均输出高电平,由于是当输入LED为低电平的,它才会亮,故使两个译码器对应连接LED的输出端进行逻辑与运算,这样当一个为低电平时,另一个输出端的高电平对此无影响,从而问题得到解决。

彩灯循环系统:在接入500Ω的电阻后使用数字万用表测量LED的正向压降,得出此时LED正常发光

问题1:在开始探究LED何时会亮的时候,当接入电压后,仿真模拟出错?

解决:查阅资料发现是电压不对,需串联下拉电阻,后串联了500Ω的电阻后LED变亮。

2)在数字电路总体设计与调试中,有没有出现逻辑错误的情况?试分析可能出现的逻辑错误并研究解决方法。

错误1:在连接完整体电路的时候,运行时发现灯全部不亮。

解决:重新检查电路时,发现LED接反了,后经调整,完成了仿真模拟实验。

错误2:在运用红色的逻辑探针来检验电路的正确与否时,由于译码器输出端只有一个低电平,其余均为高电平,导致逻辑探针只有一个不亮,与预期结果恰恰相反?

解决:后注意到LED有共阴极与共阳极接法,考虑到只有一个输出低电平,因此采用共阳极接法,输入5V电压,当译码器输出低电平时,对应的LED 就会亮。

错误3:由于在使用Multisim10做仿真时,用555多谐振荡电路产生的脉冲信号太慢。误以为是存在逻辑问题。

解决:后看到其他同学也是如此,而且模拟画面右下角确实是秒,因此坚定了自己的判断,后再网上看到同样的疑惑,发现的软件本身的问题。

3)对所设计的数字电路,可增加哪些有用的功能,怎样实现。简述思路功能1:通过调节多谐振荡器的输出振荡频率,从而改变彩灯的亮灭频率,增加视觉冲击性。

思路:由于T=(R1+2R2)*C1*ln2,而f=1/T。通过改变电容与电阻的值才改变振荡频率,故可使用滑动变阻器,完成动态调节其频率。通过计数器,控制LED的发光时间间隔可以不相同。

功能2:用LED数码管作为显示元件,实现数字的显示。

思路:运用十进制计数器(74LS160)来实现。当输出从0000到1001时,对应不同的数字即可。

功能3:多个LED同时变亮。

思路:根据需要,增加译码器的数量,进行并行控制。改变连接LED的电压和串联电阻的大小,确保LED可以正常发光。

功能4:可以记录LED循环变亮的次数。

思路:增加一个计数器和数码管,显示此电路中计数器输出端RCO的数据变化,当其输出一个高电平,即译码器完成一次循环,此时LED整体循环了两次,通过计数器累加RCO的输出电平变化,通过数码管结合逻辑门电路加以显示,因此可以设计在数码管上显示出彩灯循环次数。

4)对所设计的数字电路功能,考虑能否用你所了解的其他方法实现,简述思路。

方法一:通过采用多功能双向移位寄存器,通过采用多功能双向移位寄存器来实现彩灯的左右移动,借助于两块芯片的级联,组成拥有8 输出的功能电路,可以有效的完成所有的任务。

方法二:可采用STC89C系列的单片机来实现。

方法二:用555计时器集成电路和CD4017,主要是采用计数器和译码器来实现 8 个彩灯逐个点亮的功能的,再通过一个反馈,来实现计数器的循环计数进而让彩灯循环点亮。而芯片 CD4017 正好是将两个芯片的功能集合到了一起,可以实现十进制循环计数。

5)对所用芯片性能参数加以分析,考虑对哪些参数可降低要求以减少成本。

本题采用74LS161 与74LS138,可换成CD4017,因为CD4017集合了计数器和译码器的逻辑功能。

通过调节构成多谐振荡器中的电阻和电容的大小,在确保频率为1Hz, 即

T=(R1+2R2)*C1*ln2=1s时,根据不同的价格,可以适当改变两者的大小关系,从而达到降低成本的要求。

小彩灯控制电路设计

实验5 彩灯控制电路 一、实验目的 1. 掌握彩灯控制电路的设计和实现; 2.综合运用所学器件进行简单电路的设计; 3.熟练掌握74LS00、74LS86、74LS90、74LS138的综合应用。 二、实验设备 1、函数信号发生器 2、数字双踪示波器 3、集成电路:74LS00 4、集成电路:74LS86 5、集成电路:74LS90 6、集成电路:74LS138 7、发光二级管、电阻、开关等 三、实验内容 1、彩灯控制电路要求控制4个彩灯; 2、两个控制信号:S1S0= 00 灯全灭; S1S0=01 右移,循环显示; S1S0=10 左移,循环显示; S1S0=11 灯全亮。 四、实验结果 1.彩灯控制电路简单的系统框图介绍: ↓ ↓

↓ 2.详细设计思路: S1S0每个状态下4个彩灯有四种状态变化,用74LS90产生脉冲,按照四进制接法,接入74LS138作为74LS138芯片的驱动信号,然后进行译码操作,从而实现彩灯的控制电路的设计,下面列出该实验的真值表 其中:QA、QB为74LS90的输出端,G1为74LS138的控制端,A、B、C为输入端,Y0-Y7为输出端,X0、X1、X2、X3为四个彩灯的状态显示,0表示灭,1表示亮。 3.彩灯控制电路逻辑真值表: 彩灯控制电路的真值表

4.由此可以得到相应的逻辑关系如下: C=S1 B=QA A=QB G1=S1⊕S0 X0=Y0+Y4+S1S0 X1=Y1+Y7+S1S0 X2=Y2+Y6+S1S0 X3=Y3+Y5+S1S0 5.实验仿真电路图如下所示: (1)其中函数信号发生器设置为方波,1Hz; (2)开关S1中上面为S1,下面为S0,左拨为0,右拨为1; (3)四个彩灯使用红色发光二极管显示,从左到右的循环等价于图中从上到下的循环,从右到左的循环等价于图中从下到上的循环显示,另外每个二极管各添加了一个500欧的电阻来限制电流,防止二极管烧坏。

彩灯控制器的设计实验报告

专业班级 院系物理与电子信息学院 姓名学号同组人 实验室组号日期 成绩 课程单片机原理与应用指导老师肖鹏程 试验项目编号 试验项目名称多功能彩灯控制器的设计一、实验目的 1.熟悉Keil uVision2软件的安装和应用; 2.熟悉Proteus7.8软件的安装和应用; 3.掌握《单片机多功能彩灯控制器的设计》的编程、仿真和调试方法。 二、实验环境 1.微机一台; 2.Proteus7.8电路设计和仿真软件; 3.Keil uVision2编译和调试软件; 三、实验原理 图1是单片机多功能彩灯控制器的电路原理图,P2接8只LED,限流电阻为220Ω,时钟电路接在单片机的DIP18、DIP19,复位电路接在单片机的DIP9, DIP31接Vcc。 要求用C语言编写程序,使该电路的功能为,上电后8只LED的显示状态为:【○○○○○○○●】→【○○○○○○●○】→【○○○○○●○○】→【○○○○●○○○】→【○○○●○○○○】→【○○●○○○○○】→【○●○○○○○○】→【●○○○○○○○】→【○○○○○○○○】, 时间间隔为300mS,循环往复。

图1单片机输出控制电路原理图 多功能彩灯控制器的参考程序如下: #include unsigned char code table001[]={128,64,32,16,8,4,2,1,0}; void delay300ms(); main() { unsigned char m; while(1)

{ for(m=0;m<=8;m++) { P2=~table001[m]; delay300ms(); } } } void delay300ms() { unsigned char i,j,k; for(i=3;i>0;i--) for(j=200;j>0;j--) for(k=248;k>0;k--); } 四、实验步骤 1.安装Keil uVision2; 2.安装Proteus 7.8; 3.在Proteus ISIS环境下设计一个用单片机的I/O口(P2)作为Output控制8只LED的电路; 4.在Keil uVision2环境下,用C语言编写多功能彩灯控制器的程序,编译生成hex文件。当出现错误时,使用Debug调试和修改程序,直到生成hex文件。5.将生成的hex文件加载到单片机,运行仿真,观察现象,记录结果; 五、实验记录与处理 1. 多功能彩灯控制器的实验结果(仿真)如下: 时间LED工作状态时间LED工作状态 0 0

8个彩灯控制电路设计

课程设计(论文) 题目名称8个彩灯控制电路设计 课程名称单片机原理及接口技术 学生姓名何辉 学号0941201058 系、专业电气工程系测控类 指导教师杨波 2011年6 月25 日

邵阳学院课程设计(论文)任务书 年级专 业 09级电气工程系学生姓名何辉学号0941201058 题目名称8个彩灯控制电路设计计时间2011年6月7日—2011 年7月3日 课程名称单片机原理及在 电气测控学科中 的应用 课程编号121200105 设计地点 数字控制与PLC实验 室\创新实验室 (214)(305) 一、课程设计(论文)目的 通过课程设计,进一步熟悉和掌握AT89S51单片机的结构及工作原理,掌握以单片机核心的电路设计的基本方法和技术,了解表关电路参数的计算方法。通过完成一个包括电路设计和程序开发的完整过程,使学生了解开发一单片机应用系统的全过程,通过此综合训练,为以后毕业设计打下一定的基础。为今后从事相应打下基础。 二、已知技术参数和条件 1、系统电路的构成用AT89S51单片机和中规模集成芯片,及电子元件。 2、WA VE 软件或KEIL 软件编译 三、任务和要求 任务: 用AT89S51单片机设计设计一个8个彩灯控制电路。 要求: 1 从左到右排列,编号为1~8号。系统启动后,灯管点亮的顺序依次为:1号→2 号→3号→...→7号→8 号,时间间隔为1S。8根彩灯全亮后,持续10S。然后按照8号→7号→6号→...→2号→1号的顺序依次熄灭,时间间隔为1S。灯管全部熄灭后,等待2S,再从8号灯管开始,按照8号→7号→6号→...→2号→1号的顺序依次点亮,时间间隔为1S。全部点亮后持续20S,再按照1号→2号→3号→...→7号→8号的顺 序熄灭,时间间隔仍为1S。灯管全部熄灭后,等待2S,再重新开始上述过程的循环。 2、用proteus仿真 注:1.此表由指导教师填写,经系、教研室审批,指导教师、学生签字后生效; 2.此表1式3份,学生、指导教师、教研室各1份。

《数字电路》课设彩灯循环控制电路设计

《数字电子技术课程设计》报告 ——彩灯循环控制电路设计 摘要 本次电路设计利用555定时器、计数器等设计LED彩灯控制电路。通过按键实现如下循环特性:当按键没有按下时8个彩灯交叉循环点亮:即在前四秒内第1、3、5、7盏灯依次点亮、后四秒内8、6、4、2盏灯依次点亮,而当按键按下一次后(按下两次等效于没有按下),实现8盏灯依次循环点亮(产生灯光追逐音乐、活跃气氛的效果),并设计成同步电路模式。 用555定时器设计的多谐振荡器来提供时序脉冲,其优点是在接通电源之后就可以产生一定频率和一定幅值矩形波的自激振荡器,而不需要再外加输入信号。由于555定时器内部的比较器灵敏度较高,而且采用差分电路形式,这样就使多谐振荡器产生的振荡频率受电源电压和环境温度变化的影响很小。之后脉冲信号输入到计数器,同时将计数器输出端QC、QB、QA接到译码器的输入端,当译码器输出电平为低电平时,与其相连接的LED会变亮。LED采用共阳极连接,并串上500Ω的电阻。电路由按键SPST_NC_SB控制,使彩灯进入到不同的循环模式。 电路图连接好后,经Multisim软件调试测试,电路可以实现设计要求,即实现从题中要求的交叉循环显示和音乐序列的循环显示。整体电路采用同步电路模式,采用TTL集成电路,电压V 均为5V。运用了所学的555定时器、译码器、计数器与逻辑门 cc 电路等相应的电路器件,提高了对于数字电子技术这门专业基础课的认识与理解,在

实践中发现不足,努力改正,提高了我自学、创新等能力,同时我们也掌握了相应设计电子电路的能力,有利于今后对于专业课程的学习。 关键词:555定时器计数器译码器彩灯循环控制

8路LED彩灯控制电路设计报告

《8路LED彩灯控制》课程设计报告 专业: 班级: 姓名: 学号: 同组成员: 指导教师: 2011年 1 月8 日

目录 一、课程设计目的 (3) 二、课程设计题目描述和要求 (3) 三、课程设计报告内容 (3) 3.1 器件选择 (3) 3.2 实验电路图 (3) 3.3 原理分析 (4) 3.3.1 脉冲源产生模块 (4) 3.3.2 定时器模块 (4) 3.3.3 寄存器模块 (4) 3.4 具体思路和设计过程 (4) 3.4.1 设计思路 (4) 3.4.2 具体设计过程 (5) 四、焊接与调试 (6) 五、总结体会 (6)

一、课程设计目的 随着社会的发展,人民生活水平不断提高,现代人们越来越注重节能和装饰,因此LED作为一种超节能产品在实际生活中得到广泛应用,它不仅工作电压低,而且使用寿命很长,所以它受到大多数灯光装饰师的青睐。本设计用8路led设计出的彩灯控制电路具有:低能耗、花色多、性能忧、实用美观等特点,实为家居装饰之首选。而具体的课程设计(包括电路图设计、电路板焊接、安装与调试),既可以提高我们的动手能力和实际分析问题能力,还有助于我们加深对数字电路的认识和了解,进一步激发学习的兴趣,为后续学习打下坚实基础。 二、课程设计题目描述和要求 本设计中的8路LED彩灯控制电路,由555定时器、移位寄存器、计数器等器件构成,主要功能是实现三种花色的交错变换,8路led灯的亮和灭组合起来形成有规律的花色,给人以美感,适用于彩灯装饰。 三.课程设计报告内容 3.1 器件选择 555定时器一片、十六进制计数器74ls191两片、双向移位寄存器74ls194两片、0.01uf的电容和2.2uf的电容各一个、47k电阻两个、非门74ls04一个、与非门 74ls20六个、导线若干。 3.2 实验电路图

8路输出的彩灯循环控制电路数电说明书(内附电路图)

绪论 数字电子技术已经广泛地应用于计算机,自动控制,电子测量仪表,电视,雷达,通信等各个领域。例如在现代测量技术中,数字测量仪表不仅比模拟测量仪表精度高,功能高,而且容易实现测量的自动化和智能化。随着集成技术的发展,尤其是中,大规模和超大规模集成电路的发展,数字电子技术的应用范围将会更广泛地渗透到国民经济的各个部门,并将产生越来越深刻的影响。随着现代社会的电子科技的迅速发展,要求我们要理论联系实际,数字电子逻辑课程设计的进行使我们有了这个非常关键的机会。 随着科学的发展,人们生活水平的提高,人们不满足于吃饱穿暖,而要有更高的精神享受。不论是思想,还是视觉,人们都在追求更高的美。特别使在视觉方面,人们不满足于一种光,彩灯的诞生让人们是视觉对美有了更深的认识。 本设计是一个彩灯控制器,使其实用于家庭、商场、橱窗、舞厅、咖啡厅、公共广场等场所的摆设、装饰、广告、环境净化与美化。

本次课程设计在编写时参考了大量优秀教材,并得到太原科技大学机械电子工程学院测控技术与仪器教研室刘畅老师的大力支持,他提出来许多的意见和建议,在此表示衷心的感谢。 由于编者水平有限,本设计说明书难免出现不妥之处,恳请老师和广大读者给与批评并提出宝贵的意见,我将由衷地欢迎与感激。 编者 2010年于太科大

目录 绪论 (1) 一、课程设计题目 (3) 二、课程设计目的 (4) 三、课程设计基本要求: (4) 四、课程设计任务和具体功能 (5) 五、工作原理 (5) 六、设计总框图 (6) 七、电路元器件的说明 (6) 八、总电路图 (27) 九、调试与检测 (28) 十、误差分析: (28) 十一、设计心得体会。 (28) 附录 (28) 参考文献 (28) 一、课程设计题目:8路输出的彩灯循环控制电路

六路彩灯循环控制器数电课程设计

一.设计目的及要求 1.1 课程设计的目的 1 、巩固和加强《数字电子技术》课程的理论知识。 2 、掌握电子电路的一般设计方法,了解电子产品研制开发过程。 3 、掌握电子电路安装和调试的方法及其故障排除方法,学会用ewb 软件或multisim 软件对电路仿真。 4 、通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。 5、培养创新能力和创新思维。 1.2 要求 用中规模集成电路实现6 路彩灯控制电路,主要用计数器、译码器、移位寄存器等芯片集成,实现以下5 种演示花型: 花型1:6 路彩灯同时亮; 花型2:6 路彩灯同时灭; 花型3:6 路彩灯从左至右逐路点亮; 花型4:6 路彩灯左侧三个全亮,同时右侧三个全灭; 花型5; 6 路彩灯右侧三个全亮,同时左侧三个全灭; 要求彩灯亮、灭一次的时间可调,花型转换的顺序为:花型1、花型2、花型3 、花型4,花型5 、花型1 电路有复位控制,复位按钮闭合时彩灯循环输出,按钮断开彩灯熄灭。 二、设计方案的选择和电路框图

2.1题目分析 我们设计的流水灯实际上是主要使用一个555定时器、一个 74LS160,—个74LS42和两个74HC194这四个芯片对,6个彩灯进行控制,产生循环控制的效果。 2.2 题目设计 花型1,111111 ;花型2,000000 ;花型3,100000 ——010000 ——001000——000100——000010——000001;花型4,111000 ;花型5,000111。用74HC194移位寄存器来实现。用74LS42译码器来实现对194的控制,实现194的清零,并行输入,以及右移。用 74LS160十进制计数器控制42译码器的输出,555定时器根据滑动电阻的调节来实现输出时钟脉冲周期的不同从而控制160 计数的快慢,也就实现了彩灯闪烁时间的可调。 2.3结构框图

multisim软件的数字电路——彩灯循环控制

数字电路课程设计报告 设计课题:彩灯循环控制器的设计 专业:电子信息科学与技术 班级: 20081421 学生姓名: 学号: 2008142115 学生姓名: 学号: 2008142134 指导教师: 二零一一年六月五日

一、实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件 的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 4.掌握multisim软件的操作并对设计进行仿真。 5.通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制 器的设计方法。 二、设计要求 1、有十只LED,L 0……L 9 2、显示方式 (1)先奇数灯依次亮 (2)再偶数灯依次亮 (3)依次循环变化 3、显示间隔0.5s,1s可调。 三、设计方案 1)课题的分析 此电路主要由五部分组成,其整体框图如图(一)所示。 图一

2)方案论证与实现 (1)振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号,电路如图(二)所示。 图二 (2)分频电路 用D触发器设置分频电路控制彩灯实现彩灯的显示时间。电路如图三 图三 其中开关C和B用来控制显示方式。

(3)计数器控制电路 用74LS161计数器转换脉冲信号用来控制彩灯的奇偶显示方式。 74LS161N 17 19 图四 (4)计数译码驱动电路 用74154译码74LS161计数器产生的信号驱动彩灯的显示。电路如图五: 74154N 4-16线译码器74154N中00-09接10个LED灯译码。(5)显示电路 用74154驱动彩灯的显示,电路如图六:

单片机节日彩灯控制器课程设计报告..

单片机原理及接口技术 课程设计报告 单片机节日彩灯控制器设计彩灯控制器 姓 名: 学 号: 指导教师: 学 院: 机电工程学院 专 业: 机械设计制造其自动化 完成日期: 2014年6月27日

目录 第1章.绪论---------------------------------------------------- 1 1.1课题的国内外现状----------------------------------------- 1 1.2课题的发展趋势------------------------------------------- 1 1.3课题研究的主要内容------------------------------------- 1 第2章.节日彩灯控制器的设计-------------------------------------- 3 2.1设计目的 ------------------------------------------------- 3 2.2设计要求 ------------------------------------------------ 3 2.3总体方案设计与选择的论证 -------------------------------- 3 2.4核心芯片及主要功能介绍 ---------------------------------- 4 2.4.1 AT89S52芯片---------------------------------------- 4 2.4.2 74HC377芯片 --------------------------------------- 7 2.4.3 74HC138芯片 --------------------------------------- 7 2.5硬件设计 -------------------------------------------- 8 2.5.1 直流电源电路 ---------------------------------------- 8 2.5.2 按键电路 ------------------------------------------- 9 2.5.3 时钟复位电路 --------------------------------------- 9 2.5.4 LED显示电路---------------------------------------- 10 2.5.5 硬件调试 --------------------------------------------- 10 2.6软件设计------------------------------------------------- 10 第3章.总结----------------------------------------------------- 15 3.1 实验方案设计的可行性、有效性----------------------------- 15 3.2 设计内容的实用性----------------------------------------- 15 3.3 实习心得------------------------------------------------ 15 附录一:总体电路图---------------------------------------------- 19附录二:元器件清单----------------------------------------------20参考文献--------------------------------------------------------21致谢------------------------------------------------------------21

数电实训彩灯控制电路设计

桂林电子科技大学信息科技学院《数字逻辑电路》实训报告 学号 1051100425 姓名 指导教师:邹老师覃老师 2010 年 07 月 13 日

多路彩灯控制电路 1.整机设计 1.1 设计要求 (1)功能要求:八个彩灯用8个放光二极管代替; (2)设置外部操作开关,它具有控制彩灯亮点的右移、左移、全亮及全灭等功能; (3)彩灯亮点移动时间间隔取1秒; (4)彩灯的布图形状随意; (5)让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度 1.1.1 设计任务 通过查找资料设计彩灯的原理图﹑PCB图使其能实现全亮﹑全灭﹑左移﹑右移等功能,让学号的最后两位编码点亮相应的灯,能实现循环左右移,可控制彩灯亮灭速度 1.1.2 性能指标要求 彩灯亮点的时间间隔为1秒,占空比为50% 1.2 整机实现的基本原理及框图 1.2.1 基本原理 通过两片集成双向移位寄存器74LS194和拨码开关控制右移﹑左移和一个拨码开关进行预置端让其全亮﹑全灭和一个由555芯片构成的CP产生电路其主要原理框图如下: 1.2.2 总体框图 总体框图 2.各功能电路实现原理及电路设计 (1)彩灯演示电路 2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电

阻(保护发光二极管)和八个发光二极管。其电路图如下 彩灯演示电路图 (2)彩灯控制电路 移位寄存器是一个具有移位功能的寄存器。寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又能右移的叫双向移位寄存器。根据移位寄存器存取信息的方式不同分:串行串出,串入并出,并入串出,并入并出4种形式。 本电路由2片移位寄存器74LS194级联实现。其八个输出信号端连接八个300欧电阻(保护发光二极管)和八个发光二极管和一片74LS04(控制彩灯循环亮的作用)和拨码开关控制输入的高低电平。其图如下: 彩灯控制电路图

彩灯循环显示控制电路设计

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 彩灯循环显示控制电路设计 初始条件: 74LS160计数器、74HC390计数器、74HC139译码管、脉冲发生器、数码管和必要的门电路,可以选用其他的计数器和集成电路,但必须给出原理说明 要求完成的主要任务: 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列......如此周而复始,不断循环。 设计要求 ①打开电源时,控制器可自动清零。 ②每个数字的一次显示时间基本相等,这个时间在0.5s到2s范围内连续可调。 ③确定设计方案,按功能模块的划分选择元、器件和集成电路,设计分电路,画 出总体电路原理图,阐述基本原理。 ④用EWB软件或者multisim软件或者Quartus软件完成仿真。 指导教师签名: 2008 年 6月 2日 系主任(或责任教师)签名:年月日

目录 摘要 (1) 1主要任务 (2) 2技术要求 (2) 3基本组成方框图 (2) 4设计方案 (3) 4.1数列循环部分 (3) 4.2数列显示部分 (7) 4.3脉冲信号的产生 (8) 4.4方案的确定 (9) 5单元电路的设计及其原理 (9) 5.1数列循环电路的设计 (9) 5.2序列显示电路的设计 (10) 5.2.1十进制自然序列的显示电路 (10) 5.2.2奇数序列显示电路 (11) 5.2.3偶数序列显示电路 (11) 5.2.4音乐序列显示电路 (12) 5.3脉冲产生电路的设计 (13) 5.4二分频电路的设计 (14) 5.5总电路图的设计 (14) 6仿真结果 (16) 6.1脉冲产生电路的仿真 (16) 6.2二分频电路的仿真 (17) 7测试结果分析 (18) 8体会与心得 (19) 9元件清单 (20) 10参考文献 (21)

彩灯控制器电路设计报告

西安科技大学高新学院 毕业设计(论文) 题目彩灯控制器电路设计 院(系、部) 机电信息学院 专业及班级电专1202班 姓名张森 指导教师田晓萍 日期 2015年5月28日

摘要 随着微电子技术的发展,人民的生活水平不断提高,人们对周围环境的美化和照明已不仅限于单调的白炽灯,彩灯已成为时尚的潮流。彩灯控制器的实用价值在日常生产实践,日常生活中的作用也日益突出。基于各种器件的彩灯也都出现,单片机因其价格低廉、使用方便、控制简单而成为控制彩灯的主要器件。 目前市场上更多用全硬件电路实现,电路结构复杂,结构单一,一旦制成成品就只能按固定模式,不能根据不同场合,不同时段调节亮度时间,模式和闪烁频率等动态参数,而且一些电路存在芯片过多,电路复杂,功率损耗大,亮灯样式单调缺乏可操作性等缺点,设计一种新型彩灯已迫不及待。 近年来,彩灯对于美化、亮化城市有着不可轻视的重要作用。因此作为城市装饰的彩灯需求量越来越大,对于彩灯的技术和花样也越来越高。目前市场上各种式样的LED彩灯多半是采用全硬件电路实现,存在电路结构复杂、功能单一等局限性,因此有必要对现有的彩灯控制器进行改进。 关键词:LED彩灯;STC-89C52单片机;彩灯控制器。

目录 1前言 (1) 1.1设计目的 (1) 1.2设计要求 (1) 1.3总体方案设计与选择的论证 (2) 2节日彩灯控制器的设计 (4) 2.1核心芯片及主要元件功能介绍 (4) 2.1.1 AT89S52芯片 (4) 表1 (5) 2.1.2 74HC377芯片 (5) 2.1.3 74HC138芯片 (6) 2.2硬件设计 (7) 2.2.1直流电源电路 (7) 2.2.2按键电路 (8) 2.2.3时钟复位电路 (8) 2.2.4 LED显示电路 (9) 2.2.5硬件调试 (9) 2.3软件设计 (10) 3 总结 (15) 3.1实验方案设计的可行性、有效性 (15) 3.2设计内容的实用性 (15) 3.3心得 (16) 附录 (16) 参考文献 (18) 致谢 (19)

广告彩灯控制电路设计课设

目录 引言 (2) 1设计意义及要求 (3) 1.1设计意义 (3) 1.2设计要求 (3) 2方案设计 (4) 2.1设计思路 (4) 2.2方案设计 (4) 2.2.1方案一及其电路图 (4) 2.2.2方案二及其电路图 (5) 2.3方案比较 (6) 3部分电路的设计 (7) 3.1脉冲源的设计电路 (7) 3.2 4017控制电路 (9) 3.3 同右同左控制电路 (11) 3.4 回馈控制电路 (15) 4调试与检测 (16) 4.1调试中故障及解决办法 (16) 4.2调试与运行结果 (16) 5 仿真操作步骤及使用说明 (17) 6元件名细表 (18) 7附录电路图 (19) 8结束语 (20) 本科生课程设计成绩评定表

引言 当今时代是一个新技术层出不穷的时代,在电子领域尤其是自动化智能控制领域,传统的分立元件或数字逻辑电路构成的控制系统,正以前所未见的速度发展。 在现实生活中,我们经常遇到花样多变的广告彩灯,所谓广告彩灯就是讲一系列有颜色的灯串联在一起,然后按一定次序逐个或者几个的依次点亮和熄灭。因此要设计出这种电路就要应用数字逻辑电路,本次试验依照要求要设计出三行彩灯依次点亮,三列彩灯从右向左移动从左向右移动,所以该课程设计将用到脉冲信号产生电路、4017控制电路、计数电路、译码电路及其他门电路。

广告彩灯控制电路设计 1 设计意义及要求 1.1 设计意义 (1)培养学生正确的设计思想,理论联系实际的工作作风,严肃认真、实事的科学态度和勇于探索的创新精神。 (2)锻炼学生的自学软件的能力及分析问题、解决问题的能力。 (3)通过课程设计,使学生在理论计算,课程设计、查阅设计资料、标准和规运用和计算机应用能力得到训练和提高。 (4)固化、深化和扩展学生的理论知识与专业技能。 (5)为今后从事电子领域打下坚实的基础。 1.2设计要求 1.现有9只彩灯,试设计一控制电路,要求彩灯能实现如下追逐图案; 2.第一层3只红灯右移,每灯亮的时间为0.8秒; 3.第二层3只蓝灯右移,每灯亮的时间为0.8秒; 4.第三层3只黄灯右移,每灯亮的时间为0.8秒; 5.三色彩灯同时右移,每组灯亮的时间为1.6秒; 6.三色彩灯同时左移,每组灯亮的时间为1.6秒; 7.彩灯控制电路工作状态按照上述2至6步自动重复循环。

彩灯循环显示电路

《数字电子技术课程设计》报告—彩灯循环控制器的电路设计 专业:电子信息工程 班级: 姓名: 学号: 指导教师: 2011年6月12 日

1.课程设计目的 通过本设计熟悉中规模集成电路进行时序电路和组合电路设计的方法,掌握彩灯循环控制器的设计方法。巩固所学理论,提高动手能力、创新能力和综合设计能力。为今后工作奠定坚实的基础。 2.课程设计要求 2.1彩灯能够自动循环点亮。 2.2彩灯循环显示且频率快慢可调。 2.3该控制电路具有8路以上的输出。 3.电路组成框图 此电路主要由三部分组成 振荡电路计数驱动电路显示电路 4.元器件清单 元器件名称个数型号主要参数 集成芯片 1 4017BD-5V 集成芯片 1 LM555CN 电阻 3 1K,1K,300 接地 2 滑动变阻器 1 1K 电容 3 10nF,1uF,1nF 直流电源 1 5V 发光二极管10 LED 5.各功能块电路 5.1振荡电路 主要用来产生时间基准信号(脉冲信号)。因为循环彩灯对频率的要求不高,只要能产生高低电平就可以了,且脉冲信号的频率可调,所以采用555定时器组成的振荡器,其输出的脉冲作为下一级的时钟信号。电路中555定时器组成多谐振荡器,输出一定频率的矩形脉冲。

U1 LM555CN GND 1DIS 7OUT 3 RST 4VCC 8THR 6CON 5 TRI 2VCC 5V R11k|?R21k|?C110nF C2 1nF 20 4 VCC R31k|? Key=A 50% 1517 16 555定时器组成的多谐振荡电路 U4 LM555CN GND 1DIS 7OUT 3 RST 4VCC 8THR 6CON 5 TRI 2 5.2 4017BD 工作原理 计数器是用来累计和寄存输入脉冲个数的时序逻辑部件。在此电路中采用十进制计数/分频器4017,它是一种用途非常广泛的电路。其内部由计数器及译码器两部分组成,由译码输出实现对脉冲信号的分配,整个输出时序就是O0、O1、O2….O9依次出现与时钟同步的高电平,宽度等于时钟周期。 4017有3个输入端(MR 、CP0和~CP1),MR 为清零端,当在MR 端上加高电平或正脉冲时,其输出O0为高电平,其余输出端(O1-O9)均为低电平。CP0和CP1是2个时钟输入端,若要用上升沿来计数,则信号由CP0端输入;若要用下降沿来计数,则信号由~CP1端输入。设置2个时钟输入端,级联时比较方便,可驱动更多二极管发光,此时4017芯片则用CP0时钟输入端,用上升沿来计数。

简易彩灯控制电路课程设计报告

目录摘要1 1 设计要求1 1.1设计课题1 1.2主要容1 1.3技术要求1 2 系统组成及工作原理2 2.1系统组成框图2 2.2工作原理分析3 3 电路方案设计3 3.1电路图设计3 4 单元电路设计4 4.1时钟脉冲产生电路4 4.2分频电路的工作原理6 4.3状态机电路7 4.4移位输出电路8 4.5桥式整流电路9 4.6发光二级管9 结束语10 致11 参考文献12

附录13 附录1:元器件清单13 附录2:输出状态编码13 附录3:元件引脚图14 附录4:元件功能表15 附录5:总设计图17

简易彩灯控制器电路 摘要 彩灯控制器是用来使彩灯按照一定的形式和规律闪亮,起到烘托节日氛围、吸引公众注意力的作用,它是一种很好的照明娱乐工具,应用前景较为广泛。本设计就是采用电子元件制作的一个简易的具有四种变换花型的彩灯控制器。 本电路系统由四部分组成,分别是: (1)时钟振荡电路,555定时器构成多谐振荡器; (2)分频电路,由四位二进制计数器74LS161组成,为D 触发器提供时钟; (3)状态机电路,由双D 触发器组成; (4)移位显示电路,由双向移位寄存器74194 和发光二极管组成,实现花型显示。 彩灯控制器实现方法多种多样,本次设计的这台彩灯控制器主要功能有:(1)可以控制8个以上的彩灯;(2)可以组成四种以上花型,并且每种花型能够连续循环两次,各种花型轮流显示。 本电路基于74系列简单逻辑门电路的组合,实现简易电子彩灯控制器电路,具有电路设计简单,成本低廉的特点。 关键词:时钟脉冲;分频;双D触发器;移位寄存器;计数器

1 设计要求 1.1设计课题 题目:简易彩灯控制器电路 1.2主要容 1.2.1 阅读相关文献。 1.2.2 学习电子制图软件的使用。 1.2.3 学会整理和总结设计文档报告。 1.2.4 学会如何查找器件手册及相关参数。 1.3 技术要求 1.3.1要求电路能够控制8个以上的彩灯。 1.3.2要求彩灯组成四种以上的花形,每种花形连续循环两次,各种花形轮流显示。

彩灯循环控制电路

课程设计(论文) 题目名称________________ 彩灯控制器_______________ 课程名称______________ 电子技术课程设计____________ 学生姓名__________________ 刘标___________________ 学号 ____________ 0941201062 ____________ 系、专业___________ 电气工程系09电力一^班_______ 指导教师__________________ 陈邈___________________ 2011年12月9日

邵阳学院课程设计(论文)任务书 2?此表1式3份,学生、指导教师、教研室各1份。

四、参考资料和现有基础条件(包括实验室、主要仪器设备等) 主编张克农?数字电子技术?高教出版社出版?第一版.2006年 彭介华主编?电子技术课程设计指导》?高教出版社出版?第一版.2002年 曾建唐主编?《电工电子基础实践教程》?机械工业出版社.2002年 康光华主编.《电子技术基础》.高教出版社出版.第四版.1999年 电子电工实验室可以提供的主要仪器设备: 示波器型号规格VP-5220、电子学习机型号规格WL-V万用表MF10;以及分立元件、或中规模集成芯片。 五、进度安排 2011年11月28日-29日:收集和课程设计有关的资料,熟悉课题任务何要求; 2011年11月30日-12月1日:总体方案设计,方案比较,选定方案的论证及电路的工作原理; 2011年12月2日-7日:单元电路设计,参数计算,元器件选择,电路图; 2011年12月8日;整理书写设计说明书 2011年12月9日:答辩 六、教研室审批意见 教研室主任(签字):年月日 七卜主管教学主任意见 主管主任(签字):年月日 八、备注 指导教师(签字):学生(签字):刘标

彩灯控制电路

电子技术课程设计报告 学院: 专业班级: 学生姓名: 指导教师: 完成时间: 成绩:

彩灯控制电路设计报告 一.设计要求 (1).利用所学的电子技术知识搭建彩灯控制电路,实现16个彩灯依次循环闪烁。 (2).在搭建电路之前要先用Multisim画好电路原理图,因此要对Multisim软件熟悉,了解他的用途,能够独立完成电路的设计,学会分析电路故障,对元器件认识透彻,清楚各个芯片的结构 及用途。 (3).电路仿真后,能够根据自己设计的原理图搭建电路,并且调试成功。 二.设计的作用、目的 (1).通过电子技术的课程设计使学生能够对电子技术及应用有进一步的理解,同时也巩固了所学的模电与数电知识,使所学的电子技术应用于实际,贴近生活,走向社会,增加学习的动力。(2)掌握电子电路安装和调试的方法及其故障排除方法,学会用面包板对电路进行仿真。 (3).培养学生手动实践,搭建电路的能力,将理论与实际相结合的主要体现,使学生能够在学习理论知识的同时,对电子元器件及电子技术这门技术有更深入的认识。 (4).通过查阅手册和文献资料,培养独立分析问题和解决问题的能力。培养自己的创新能力和创新思维。

三.设计的具体实现 1.系统概述 此电路由74LS14、74LS161、74LS138 组成。通过这些元件 和计数器的真值表,利用相关的电路实现彩灯循环闪烁功能。 当通电后每来一个脉冲,计数器74LS161 加1,输出1。3-8 译码器所接的发光二极管依此发光。则电路组成及工作原 理:彩灯控制器电原理图如下所示,其中74LS14 为电路提 供非门。

2.单元电路设计(或仿真)与分析(1).计数器:74LS161

彩灯循环显示电路

计算机科学学院课程设计报告 课程数字逻辑 题目彩灯循环显示电路 年级2010级 专业计算机科学与技术 学号学生 任课教师 2014年 5 月26日

课程设计 题目 彩灯循环显示电路 验收时间验收 地点 9#307 指导 教师 小组 成员 具体分工备注 课题总体设计思想概述 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数列和音乐符号数列......如此周而复始,不断循环。 这次的课程设计主要是用计数器来实现的,这个彩灯循环控制电路的实质就是要产生一系列有规律的数列,然后通过一个七段数码管显示出来。 而这次的内容还包括分电路图的整合,使这个彩灯循环显示器能够按照要求那个依次输出自然序列,奇数序列,偶数序列还有音乐序列。为了实现这个循环输出的功能,在设计的时候还用到了一个寄存器,可以利用它的输出端来控制四个计数器的工作情况,可以让四个计数器依次工作,就可以达到要求的依次循环输出数列。

设计目的 以LED数码管作为控制器的显示元件,它能自动地依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列)和0、1、2、3、4、5、6、7、0、1(音乐符号数列),然后由依次显示出自然数列、奇数列、偶数序列,音乐符号序列...... 如此周而复始,不断循环。 设计原理基本组成方框图: 这个设计主要靠计数器来实现的,电路的实质就是要产生一系列有规律的数列,然后通过一个七段数码管显示出来。运用计数器的不同的功能和不同的接发就可以实现不同的序列输出了。 通过电路图的整合,使这个彩灯循环显示器能够按照要求那个依次输出自然 序列,奇数序列,偶数序列还有音乐序列。为了实现这个循环输出的功能,在设 计的时候还用到了一个以为寄存器,可以利用它的输出端来控制四个计数器的工 作情况,可以让四个计数器依次工作,就可以达到要求的依次循环输出数列。

课程设计报告(彩灯控制器)

西安文理学院机械电子工程系 课程设计报告 专业班级电子信息工程(1)班 课程数字电子技术 题目彩灯控制器 学号 学生姓名 指导教师 2010年 1月

西安文理学院机械电子工程系 课程设计任务书 学生姓名专业班级学号 指导教师职称教授教研室 课程数字电子技术 题目 彩灯控制器 任务与要求 1.掌握NE555定时器的原理及使用方法。 2.学习74LS138译码器的使用方法。 3.掌握CD4040计数器的使用方法。 4.按照设计图连好电路,实现所需功能。 5.攥写课程设计报告 开始日期 2009年12月28日完成日期2010年1月8日 2010年1月8日

目录 设计目的 (1) 设计任务和要求 (1) 总体设计方案 (2) 功能模块设计与分析 (5) 电路的安装与调试 (8) 实验仪器及元器件清单 (11) 心得体会 (10) 附录一系统电路图 (9)

一、设计目的 用CD4040芯片、LS138芯片、NE555芯片完成彩灯控制器。 二、设计要求和任务 通过循序渐进地独立完成数字电路的设计任务,加深对理论知识的理解,有效地提高了动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。重点提高在数字电路应用方面的实践技能,树立严谨的科学作风,培养综合运用理论知识解决实际问题的能力。通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。 基本要求: (一).掌握数字逻辑电路分析和设计的基本方法 1.根据设计任务和指标,初选电路; 2.通过调查研究、设计计算,确定电路方案; 3.选测元器件,安装电路,独立进行试验,并通过调试改进方案; 4.分析课程设计结果,写出设计报告。 (二).培养一定自学能力和独立分析问题、解决问题能力 1.学会分析、找出解决问题的方法; 2.对设计中遇到的问题,能独立思考,查阅资料,寻找答案; 3.掌握一些测试电路的基本方法,课程设计中出现一般故障,能通过“分析、观察、判 断、试验、再判断”的基本方法独立解决; 4.能对课程设计结果进行分析和评价。 (三).掌握安装、布线、调试等基本技能 1.掌握常用的仿真软件,并能够利用仿真软件进行一定的电路调试、改进; 2.掌握数字电路布线、调试的基本技巧; 3.巩固常用仪器的正确使用方法。 (四).培养实践能力 通过严格的科学训练和工程设计实践,树立严肃认真、一丝不苟、实事求是的科学作风,并培养团结协作的精神。

循环彩灯控制器课程设计8路

数字电路课程设计报告课程名称:循环彩灯控制器 设计题目:循环彩灯控制器 院(部):机械与电子工程学院 专业: 学生姓名: 学号: 班级: 日期: 指导教师:

课程设计任务书 课程设计题目循环彩灯控制器 姓名学号班级 院部机械与电子工 程学院 专业 组别组长组员 指导教师 课程设计目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 课程 设计 环境 用proteus 仿真软件画出总体电路图、word编写课程设计报告

课程设计任务和要求设计要求: 1. 8路彩灯能演示三种花型(花型自拟); 2. 彩灯用发光二极管LED模拟; 3.选做:实现快慢两种节拍的变换。 设计任务: 1.设计电路实现题目要求; 2.电路在功能相当的情况下设计越简单越好; 3. 注意布线,要直角连接,选最短路径,不要相互交叉; 4. 注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。 课程设计工作进度计划 序号起止日期工作内容 1 2012年6月7日~2012年 6月11日选择设计题目并阅读相关文献、资料,学习使用proteus 2 2012年6月12日~2012 年6月13日 根据设计任务和要求,做出大纲和目录 3 2012年6月13日~2012 年6月16日 根据目录做出设计内容 4 2012年6月17日~2012 年6月18日 根据设计内容用proteus画图 5 2012年6月19日整理课程设计的格式与内容 6 2012年6月20日打印并装订 教研室审核意见: 教研室主任签字:年月日 教学院(系)审核意见: 主任签字:年月日

相关文档
最新文档