OFDM基带处理器芯片设计与FPGA实现

OFDM基带处理器芯片设计与FPGA实现
OFDM基带处理器芯片设计与FPGA实现

OFDM基带处理器芯片设计与FPGA实现

正交频分复用(OFDM)是一种多载波宽带数字调制技术,作为当前非常热门的一种高速率的数字通信技术,它可以被看做是一种调制技术,也可以被当做一种复用技术。由于OFDM在频谱利用率方面的优异表现,同时具有抗多径干扰和抗频率选择性衰落等优点,使得OFDM技术被4G和5G采用为核心技术,代表了未来无线通信的发展方向。IEEE802.11a标准组也选用OFDM技术作为工作于5GHz频段的无线局域网(WLAN)的物理层接入方案。

现场可编程逻辑门阵列(FPGA)是一类拥有高集成度的可编程逻辑器件,由于其内部拥有丰富的功能模块和逻辑模块资源,所以可以由用户编程来实现所需逻辑功能的数字集成电路。FPGA在实现OFDM接收系统时存在集成度高、稳定性高、体积小、功耗低和处理精度高的优点。

本文主要是通过对OFDM技术的了解,特别是对OFDM系统中FFT MegaCore的使用,从而达到对OFDM芯片设计有一定了解的目的,然后通过软件进行仿真验证,达到对OFDM技术及其实现有比较系统的认识,同时也学会通过Quartus及Synplify等软件完成对一个复杂硬件系统的设计及仿真验证,特别是掌握ip核的应用及复杂系统的设计思想。

1.1 移动通信的发展

无线通信是指利用电磁波信号可以在自由空间中传播的特性进行信息交换的通信方式。在信息通信领域中,应用最广、发展最快的是无线通信技术,在移动中进行无线电通信的实现被统称为移动通信,将它们放在一起称为无线移动通信。如今是信息时代的通信技术的飞速发展,随着数字通信技术的融合和计算机技术和通信网络和计算机网络,信息科学与技术的飞速发展,已成为21世纪的经济和社会快速发展的强大动力。通过广泛的交流和传播信息,来发挥其巨大的价值,推动社会成员之间的合作,以促进社会生产力的发展,创造了巨大的经济效益。可以说移动通信技术从无线电通信发明的时候就产生了。1897年,M·G·马可尼就是在固定站与一艘拖船之间来进行并且完成无线通信试验的。现代的移动通信技术的发展开始于上世纪20年代,大致经历了五个发展阶段。几十年前,谁也无法想象有一天每个人身上都有一部电话,被连接到这个世界。如今,人们可以通过手机进行通讯,智能手机更如同一款可以随身携带的小型计算机,通过移

动通讯网络实现无线网络接入后,可以方便的实现个人信息管理及查阅新闻、天气、交通、商品的信息、音乐图片下载、应用程序下载等。

在信息通信领域中,无线通信技术是发展最快且广泛应用的技术,其中蜂窝通信技术已在世界范围内广泛使用,其发展速度及业务需求远远超过了初始预测。未来的无线通信技术将会向高速、超宽带、泛在化的方向发展,低速、窄带的网络会逐渐被宽带网络所取代,用户可以在任何时间、任何地点实现网络连接,同时数据的传输速率会越来越高,无论下载还是上传,用户都不需要过多的等待,就可以尽情享受无所不在的网络资源。蜂窝移动通信从20世纪80年代出现以来,就获得了快速的发展,如图1 移动通信发展趋势。其他代表性的还有卫星通信、宽带无线接入以及手机视频技术等,下面对这五代技术做简要介绍。

图1.1 移动通信发展趋势

(1)第一代移动通信(1G)。最早的移动通信电话采用的是模拟蜂窝通信技术和频分多址技术(FDMA),是最初模拟通信、仅限语音功能的蜂窝电话标准。1G的一系列特点使得蜂窝状移动通信网成为了很实用的系统,并且在世界的各个区域得到了迅速发展。然而,由于传输带宽是有限的,不能长距离漫游移

动通信,是一个区域的移动通信系统。最主要的问题是它的容量已经不能再满足移动用户日益增长的需求了。

(2)第二代移动通信(2G)。2G的一般性定义是以数字语音传输技术为核心,不能直接传送如软件、电子邮件等信息,只有通话和一些通信技术规范的传送,如日期、时间;然而短消息(SMS)在某些2G的规范中可以被执行。主要采用的是数码的时分多址(TDMA)技术和码分多址(CDMA)技术,与之对应的是全球主要有GSM和CDMA两种体制。还有2.5G是从2G迈向3G的衔接性技术,WAP、EPOCH、SCSD、EDGE、蓝牙(Bluetooth)等技术都是2.5G技术。2.5G 功能通常与GPRS技术有关,GPRS技术是在GSM的基础上的一种过渡技术。GPRS的推出标志着人们在GSM的发展史上迈出了很重要的一步,GPRS为数据网络和移动用户之间提供一种连接,还给移动用户提供X.25分组数据接入服务和高速无线IP。2.5G无线技术较于2G服务,可以提供更高的速率和更多的功能。第二代移动通信采用了数字化,自此无线通信步入纯数字时代。数字通信具有的优势是:频谱利用率高、保密性强、标准化程度高并且能提供丰富的业务,这使得数字通信得到了非比寻常的发展,跃升至领先地位。

(3)第三代移动通信(3G)。3G是指支持高速数据传输的蜂窝通信技术。与以模拟技术为代表的第一代和第二代移动通信技术相比,3G的带宽更宽,它的传输速度最低是384K,最高是2M,带宽可达5MHz以上。不仅可以传输语音,还可以进行数据传输,来提供方便快速例如无线接入Internet的无线应用。第三代移动通信的另一个重要的特征是能够实现宽带多媒体服务和进行高速数据的传输。目前3G存在四种标准:CDMA2000,WCDMA,TD-SCDMA,WiMax。3G 可以把基于互联网协议的服务和高速移动接入联合起来,使无线频率的利用效率得到提高。它提供包含卫星的全球覆盖,并且还构建了无线和有线及不同无线网络间的连接。第三代移动通信满足了多媒体业务的要求,从而为用户提供将无线通信与国际互联网等多媒体通信结合的新一代移动通信系统。

(4)第四代移动通信(4G)。4G(4th Generation)是第四代移动通信标准,是集3G和WLAN于一体,能进行高质量视频图像的传输并且图像传输质量能和高清晰度电视相媲美的技术产品。4G系统下载速度能够达到100Mbps,比拨号上网快了2000倍,上传速度也可达到20Mbps,3G手机50倍的速度,并能满足对无线服务大多数用户的需求。除此之外,4G可以部署在没有DSL和电缆调制解调器覆盖的地方,再布置到其他区域。显然,4G有其它的不可比拟的优势。并能够传输高质量视频图像以及图像传输质量与高清晰度电视不相上下的技术产品。4G系统的下载速度能够达到100Mbps,比拨号上网要快2000倍,也能以20Mbps的速度上传,是3G移动电话速率的50倍,并能够满足大多数用户对于无

线网络的要求。4G的主要标准有LTE-Advanced和TD-LTE-Advanced:LTE-Advanced也是最强大的4G移动通讯主导技术,它把3G的空中接入技术进行改进和增强,无线网络演进的标准是OFDM和MIMO,主要特点是可以提供较快的下行、上行峰值速率,使小区的容量得到了大大地提高,同时大大降低了网络延迟,也极大地提升了网络浏览速度。总之,4G的优势有:通信速度快、网络频谱宽、通信灵活、智能性能高、兼容性好、通信质量高。

4G移动系统网络结构可以分成三层:物理网络层、中间环境层、应用网络层。其中,物理网络层是提供接入和路由选择功能,这是由核心网和无线的格式组合完成的。中间环境层的功能有QoS映像、完全性管理和地址变换等。物理网络层、中间环境层及其应用环境间的接口是开放的,它使提供和发展新的服务及应用变得更加容易,提供无缝高数据速率的无线服务,并且运行于多个频带。这种服务能自适应多模终端能力及多个无线标准,跨越多个服务和运营者,提供广泛的服务。移动通信系统主要是以正交频分复用(OFDM)为技术核心。OFDM 技术的特点是具有良好的抗多信道干扰能力和抗噪声性能,网络结构高度可扩展,能够提供比目前无线数据技术速率更高、时延更小的服务和更高的性能价格比。我将在后面的章节中详细地介绍OFDM。4G移动通信可以为加速增长的广带无线连接提供技术上的响应,对室内和室外的、专用的多种无线网络和系统保证给予服务。移动通信将向高速化、宽带化、资料化、高频段化方向发展,移动IP、移动资料会成为以后移动网的主流。

(5)第五代移动通信(5G)。5G应该是一个继第4代移动通信技术(4G)之后、面向2020年以后人类信息社会需求的新一代移动通信系统,它是一个通过技术演进和创新,能满足未来各种业务快速发展的需求,综合集成多技术多业务的融合网络,极大地提升用户体验而正在研发的新一代移动通信技术。相对于传统的移动通信网络,面向2020年的5G应具有如下的基本特征:①全球移动数据流量将达到2010年的1000倍,5G的忙时吞吐量能力也要求提升1000倍,至少达到100Gb/s/km2以上;②未来的5G网络单位覆盖面积内支持的设备数目将大大增加,相对于目前的4G网络将增长100倍;③相对于4G网络的峰值速率,其峰值速率需要提升10 倍,即达到10Gb/s;④在绝大多数的条件下,用户可获得速率要达到10Mb/s,特殊用户需求达到100Mb/s;⑤要求进一步降低用户时延和控制时延,相对4G网络要缩短5~10倍;⑥要通过演进及频率倍增或压缩等创新技术的应用,来提升频率利用率,相对于4G网络需要5~10倍的提升;⑦要利用端到端的节能设计,使网络综合能耗效率提高1000倍。总之,5G是面向2020年的新一代移动通信系统,学术界和产业界正在对其进行广泛探讨,尽管还没有形成统一

的标准,但随着信息和网络技术的发展,5G的关键技术将获得实质性的突破,具有广阔的应用前景,会全面提升全球信息化程度和经济发展。

1.2 OFDM的发展与应用

正交多频分工技术的概念早在1960年代中期就被提出来了,主要的观念是采用数个平行的资料传输串列,资料传输串列之间则采用分频多工(Frequency Division Multiplexing, FDM)将其多工起来,当时正交多频分工技术已经被采用于军方的无线高频通信系统。

1971年,Weinstein与Ebert首先采用离散傅里叶转换(DFT)作为调制和解调过程的一部分来应用于并行数据传输系统。在上世纪80年代,OFDM开始被探讨应用在高速数位调制/解调行为通信与高密度资料存储技术,例如:采用格状码(COFDM),采用导航讯号增加载波与频率控制的稳定。1980年,Hirosaki提出均衡算法来抑制由于信道脉冲响应或着是定时和频率误差所造成的码间和载波间的干扰,同时他还介绍了使用离散傅里叶为基础的方法来实O-QAM OFDM 系统。

到了上世纪90年代后期,很多通信应用中都能够见到OFDM。目前OFDM 作为一种高效传输技术己被多种有线和无线接入标准采纳,包括IEEE802.lla、HIPERLAN/2、LTE(4G)、IEEE802.16系列标准等,且已经被广泛使用于现今各式无线通信系统,主要的应用包括: 非对称的数字用户环路(ADSL)、无线本地环路(WLL)、ETSI标准的音频广播(DAB)、数字视频广播(DVB)、高清晰度数字电视(HDTV)和无线局域网(WLAN)等。并且OFDM技术被4G和5G采用为核心技术,代表了未来无线通信的发展方向。

除此之外,OFDM还可以和分集、干扰抑制、智能天线以及时空编码等技术相结合,最大程度地使物理层信息传输的可靠信得到提高。假如再和动态比特分配算法、动态子载波分配、自适应调制及自适应编码等技术结合,它的性能可以进一步地优化。

1.3 OFDM技术的特点

OFDM技术之所以备受关注,是因为和其它技术相比,它具有以下几个优点:

(1) 其最大优点是能够对抗窄带干扰和频率选择性衰落。在单载波系统中,单个干扰或衰落都会引起通信链路的错误,而在多载波系统中,只有很小的载波会受

到影响,可以使用纠错码来对这些子信道纠错。

(2) 适用于衰落信道中和多径环境的高速数据传输,可以很好地对抗信号波形间的干扰。当信道中由于多径传输出现频率选择性衰落的时候,只有落在频带凹陷处的子载波和它所携带的信息会受到影响,而其他的子载波不会受到影响,所以总的系统误码率性能要好很多。

(3) 在窄带带宽下可以发送大量的数据。OFDM技术能够同时分离至少1000个数字信号,并且在干扰信号的环境下可以安全地进行。

(4) OFDM技术可以连续地监视发生在通信媒体的传输特性的突然变化,因为随着时间的推移,路径传送数据的能力会变化,因此OFDM是动态的和它合适的,并且打开和关闭相应的载波,以确保通信成功持续地进行;

(5) 该技术可以自动检测一个特定载波在其下有一个高的信号衰减或干扰脉冲的传输介质,然后采取适当的措施,使载波能在特定频率下成功通信;

(6)在单载波系统中,最复杂部分位于均衡器;而在OFDM系统中,你可以选择基于IFFT/ FFT的OFDM的实现,其复杂性来自于FFT,此时OFDM系统不需要做均衡。

(7)信道利用率很高,这一点在频谱资源有限的无线环境中非常为重要,当子载波个数很大时,系统的频谱利用率趋于2Baud/Hz。如图所示

图1.2 OFDM频谱利用率的优势

OFDM的信号在传输过程中也存在着一些不足:

(1)对相位噪声、频率偏移以及系统定时的误差十分敏感。这是OFDM技术很关键的问题,OFDM系统对各子载波之间的正交性要求十分严格,很小的一点频率偏移就会影响子载波间的正交性由此引起ICI。相位噪声和定时偏差会导致码元星座点的旋转或扩散,形成ICI(信道间干扰)。而对于单载波系统,相位噪声和载波频偏仅仅是降低了信噪比SNR,不会引起相互间的干扰。

(2)峰值平均功率比(PAPR)较高。OFDM信号是由多个子载波信号组成,这些子载波信号是独立调制的。OFDM调制的峰值因子很高。由于OFDM信号是很多个子载波小信号的总和,它们可能同相,叠加在一起后的幅度就会产生很大的瞬时峰值幅度。由此会造成信号畸变,使得信号的频谱发生变化,子载波之间的正交性受到破坏,产生干扰。

(3)所需线性范围更宽。由于OFDM系统峰值平均功率比(PAPR)较大,对非线性放大更加敏感,OFDM系统对放大器的线性范围的要求比单载波系统更高。

通过以上比较我们可以看出OFDM相对于其它技术所具有的优势使得它的提出是必然的,频谱利用率作为OFDM系统的代表性特点和优势,奠定了今后OFDM技术在移动互联网领域不可动摇的地位。虽然OFDM也有一些不利之处,但是随着我们对于它的更加深刻地认识,相信可以提出相应的解决方法并且不断地改善这些方法。

第二章 OFDM技术结构和原理

2.1 OFDM基本原理

正交频分复用OFDM(Orthogonal Frequency Division Multiplex)是一种多载波调制方式,它是通过减小和消除ISI的影响用以克服信道的频率选择性衰落的。它的基本原理是把一股高速的信息数据流分裂成为许多低速数据流,再并行传输。主要思想是在频域内把所给的信道分为很多相互正交的子信道,每个子信道的波特率是1/T,子信道的间隔也为1/T。采用一个子载波在每一个子信道上对其调制,各个子载波是并行传输的。那么,即使总的信道不是平坦的,也就是说可以频率选择,但每一个子信道相对平坦,而且每个子信道上执行的是窄带传输,信号的带宽明显小于信道相关的带宽,因而就可以很好地消除符号间干扰。由于子载波的频谱是彼此重叠的,因此能够得到较高的频谱效率。近几年OFDM在无线通信领域得到了广泛的应用。

图2.1 OFDM调制基本原理

从图中可得OFDM的信号表达式:

e t

f j

N

k

k

X

t sπ2

1

k

)(∑-

=

=

(1)2.2 OFDM系统结构模型

图2.2 OFDM系统结构图

其中,(a)是发射机工作原理,(b)是接收机工作原理。

当调制信号经过无线信道到达接收端的时候,由于信道多径效应引起的码间串扰的影响,子载波间不再是之前的正交状态,发送前需要在码元间插入保护间隔。倘若保护间隔是大于最大延迟扩展的,那么所有的延迟就小于保护间隔的多径信号,也就不会伸展到下一个码元周期,从而有效地消除了ISI。当使用单载

波调制的时候,为了减少码间串扰的影响,要使用多级均衡器,它会遇到较高的收敛性和复杂性的问题。

在发射机中,先要进行比特流的QAM或QPSK调制,接着通过并行变换和IFFT变换,然后把并行数据转换成串行数据,再加上保护间隔(循环前缀),以形成OFDM码元。在组帧时,需要加入的同步序列和信道估计序列会使得接收端突发检测、同步和信道估计,所需的正交基带信号最终输出。

当接收器检测的信号到达时,先要进行同步和信道估计。当完成时间同步和小数频率估计和校正,通过FFT变换,整数频率偏移估计和校正,此时获得的数据就是QAM或QPSK调制的数据。相应的解调该数据,比特流可以被获得。

第三章 OFDM关键技术

3.1 OFDM的基带数据传输部分

图3.1 OFDM基带数据传输部分

OFDM的基带数据传输部分包括有信道编码、交织、串并转换/并串转换、星座调制、IFFT变换/FFT变换、插入循环前缀等。因为OFDM的基带数据传输部分是本设计的重点,我们需要了解一下,其中FFT变换会在下一节中详细介绍。

(1)信道编码和交织

常常采用信道编码和交织,来使数字通信系统性能得到提高。可以采用信道编码来应对衰落信道中的随机错误,来使接收端口的误码率降低并且系统的可靠性提高。OFDM系统的结构给子载波之间的编码提供了机会,形成COFDM方式。编码可以采用分组码、卷积码等各种码,其中卷积码的效果更好。对发生在衰落信道中的突发错误,常采用交织技术。交织处理技术能够防止由于突发性的错误而引起连续的数据出现错误,没有办法对其纠错。为了使整个系统的性能得到进一步提高,通常同时采用信道编码和交织。

(2)串并转换/并串转换

在串行数据流中,符号是连续传输的,每个数据符号的频谱会占据整个带宽,而在传输并行数据时,很多符号被同时传输,减少了很多问题。所以为了便于做傅立叶变换要进行串并变换,将输入串行数据转换成为可以传输的符号,串并变换分配给每个子载波的长度是不一样的,在接收端进行相反的过程,出来的数据被转换到原来的串行数据。其实串并变换和并串变换都是为FFT服务的。假如把它们看作一个整体,那么输入和输出都是串行的数据。

(3)星座调制

星座映射是指先对输入的串行数据做一次调制,再由FFT分散到各个子信道上。调制的方式可以有很多,如BPSK、QPSK、QAM等。QAM(Quadrature Amplitude Modulation)是一个幅度和相移的共同键控,是把两个信号收敛到一个信道的调制方法。对于相互正交的两个同频率载波要用两个独立的基带信号进行调幅,使用相同带宽内的正交性,以达到两路并行数字信息的传输。信号的幅度和相位作为两个独立的参数被同时调制,在相当大程度上降低了误码率。可以说星座映射是个数值代换的过程。它就是给原先的串行数据引入了虚部,使其变成了由实部和虚部组成的两串数即复数,提高了信道的频谱利用率。这样不仅可以进行复数的FFT变换,并且为原来的数据引入了冗余度以牺牲效率的方式来降低误码率。

(4)插入循环前缀

为了尽可能地消除符号间的干扰(ISI),则需要在每个OFDM符号之间加入保护间隔(GI),并且保护间隔的长度要大于信道的最大实验扩展,才能对抗由于多径效率产生的影响。因为OFDM系统的频率使用率高,需要在时域上插入保护间隔。在每个符号的开始插入循环前缀是一种循环复制,每个子载波符号的数据有整数倍的循环,其实就是把每一个OFDM符号的最后一部分复制并补充到到符号前,使得整个符号时间的长度加长即可。

3.2 基于FFT 的OFDM 实现

3.2.1 FFT 的介绍

其实OFDM 的思想并不复杂,但是由于技术上的限制,主要是不能准确地使用多个载波调制及解调,所以在之前的很长时间以来OFDM 一直停留在理论上。如今随着电子技术的发展,特别是快速傅立叶变换(FFT )的发展,使得能够采用FPGA 或者高速的DSP 芯片来实现OFDM 成为了可能。OFDM 的调制过程刚好和离散傅立叶变换(DFT )公式的形式是一致的,所以OFDM 的调制可以通过FFT/IFFT 来实现。FFT 就是用输入的数据来调制相互正交的子载波。输入和输出的都是串行数据,不过对于输入的数据来说,它们之间没有关系。而经过了FFT 变换后,输出的数据之间就有了一定的联系。因为这些数据之间有了一定的联系,在传输过程中如果有一个数据错误的话,就会影响到其它的数据。换言之,FFT 就是将一串数据变成另一串与之有联系的数据。

3.2.2 FFT 的实现原理

反离散傅立叶转换(IDFT )和离散傅立叶转换(DFT )的公式

IDFT: e kn N j N k X N n x π210

k )(1)(∑-== (2) DFT: e kn N j N n n x X π21

0)()k (--=∑= (3)

反快速傅立叶转换(IFFT )和快速傅立叶转换(F FT )是反离散傅立叶转换(IDFT )和离散傅立叶转换(DFT )的快速算法实现。

现在,考虑一组资料序列D=(D 0,D 1,…D n ,…D N-2,D N-1)

而且,D k =a k +jb k ,其中 k=0,1,…,N -1 e D e D t f N N n d n

k j N k k N kn j N k k ππ210)/2(1011)(∑∑-=-=== (4)

N=0,1,2,…,N -1

其中 f k =k/T ,t n =nT/N,且T 为一个任意选择之串列资料D k 的符号区间。 我们通过研究理论可以发现,OFDM 的调制过程刚好和离散傅立叶变换(DFT )公式的形式是一致的,并且FFT 就是DFT 的快速算法。所以OFDM 的调制可以通过FFT/IFFT 来实现, 而且通过FFT 产生各个子载波是绝对同步和正交的, 因此能够大大降低设备的复杂度。

3.3 OFDM其他技术的简介

(1)信号解调

为了准确恢复由于载波频率偏移、定时偏差而被破坏的信号,接收端存在两种信号检测方法:差分解调和相干解调。差分解调只需要用两个相邻信号的相位和幅度的差值,可以在时域和频域内分别进行。相干解调利用信号的参考值来检测信号,其重点是以不引入过多训练序列为前提来获得所需检测的参考值。在完善信道估计、误码率相同的前提下,差分解调所需要的信噪比较低,差分解调适合于传输速率较低的OFDM系统,相干解调则更合适于传输速率和频谱效率高的系统。

(2)信道估计

信道估计的设计主要在于:a 导频信息的选择,因为无线信道是衰落的,导频信息要持续地传送以进行接收机的信道跟踪;b 良好的导频跟踪能力;c 较低的复杂性。对于不同的信道状况,要采用不同的信道估计算法,要基于训练序列来研究算法。

(3)同步技术

OFDM对相位噪声、频率偏移以及系统定时的误差十分敏感,所以OFDM 技术很关键的问题是时域与频域的同步技术,分为捕获和跟踪。在下行链路时,是由基站向各个移动终端广播来发送同步信号,因此下行链路的同步简单、容易实现。在上行链路中,为了保证子载波间的正交性,来自不同移动终端的信号要同步到达基站,基站再根据各移动终端发来的子载波所携带的信息来提取时域和频域同步信息,然后由基站发回到移动终端,让移动终端进行同步。

(4)均衡

均衡的实质是补偿多径信道所引起的码间干扰,一般情况下,OFDM系统具有分集信道的能力,不需要做均衡。但是,对于子载波个数较小的系统,在高度散射情况下,信道记忆长度较长,循环前缀(CP)长度过长的话会导致能量的损失,所以要加均衡器使得循环前缀长度减小。

(5)解决峰均比问题

OFDM信号是很多个子载波小信号的总和,它们可能同相,叠加在一起后的幅度会产生很大的瞬时峰值幅度。由此会造成信号畸变,使得信号的频谱发生变化,子载波之间的正交性受到破坏,使得OFDM的性能降低。现在已提出基于信号空间扩展、信号扰码技术、基于信号畸变技术等方法来解决峰均比问题。

第四章 OFDM系统仿真

4.1 FPGA的概述

4.1.1 FPGA的简介和特点

现场可编程逻辑门阵列(FPGA)是一类拥有高集成度的可编程逻辑器件,由于其内部拥有丰富的功能模块和逻辑模块资源,所以可以由用户编程来实现所需逻辑功能的数字集成电路。FPGA在实现OFDM接收系统时存在集成度高、稳定性高、体积小、功耗低和处理精度高的优点。

FPGA是由它内部的逻辑单元(LE)来实现的,因此可以通过语言描述来实现各种硬件功能。一个LE可以完成一些基础的硬件功能,当很多个LE组合在一起的时候就可以实现更加强大的功能和更大规模的电路。这种由LE实现电路功能的方式是FPGA最大的技术特点。当使用HDL设计完成,并对每一个LE 进行配置之后,FPGA系统是一个完全的硬件电路,而不含有指令控制的部分。并且FPGA是并行的系统,它使得FPGA系统的时钟速率非常的快。

4.1.2 FPGA的优势及发展趋势

相对于DSP芯片而言,FPGA可以根据目标系统特点灵活地采用流水与并行的处理方式,即同时执行所有的指令,不仅提高了整体处理速度,而且将多种功能在一个芯片内实现,提高了系统的集成度。FPGA实现FFT的功耗小于DSP实现FFT的功耗。FPGA的引脚除具有专用功能的小部分外,都可以灵活的定义,这对于工程实现专用FFT处理器是很有意义的。可见,FPGA与DSP相比,在实现专

用FFT处理器时存在稳定性好、集成度高、功耗低、体积小和处理精度高的优点,尤其是器件的抗辐照性能和质量等级满足要求。

相比于ASIC技术来说,FPGA的设计灵活,风险小,完成一个设计的周期也要短得多。采用FPGA可以减少初期的开发投资,并且它有微处理器的灵活性和通用性。FPGA仍属于通用器件,但其灵活性的优势可以弥补其效率比ASIC低的缺点,并且随着FPGA的性能不断的改善,其性能价格比能够比得上ASIC。

因此,利用FPGA完成FFT算法是一种方便、快捷、最具优势的优化设计方案。这也是本论文的主要工作。

总而言之,FPGA是一种发展前景很好的技术。第一,随着工艺的发展,FPGA 中可以集成更多的LE;第二,可以在FPGA芯片中嵌入处理器,例如,微处理器、CPU和微控制器;第三,FPGA可以支持更高的时钟速率;第四,可以提供多个高速率的串行接口;第五,用FPGA在实现数字信号处理时的处理速度有可能超过通用的DSP器件并且能够大大节省资源。

4.2 OFDM系统仿真的介绍

仿真实验是掌握系统性能的一种方法,通过仿真实验的结果来确定(或推断)实际系统的性能,从而为原系统的改善或新系统的创建提供依据。通过仿真,可以降低新系统失败的可能性,消除系统中潜在的瓶颈,优化系统的整体性能,衡量方案的可行性,从中选择最合理的系统配嚣和参数设置,然后再应用于实际系统中。因此,仿真是科学研究和工程建设中不可缺少的方法。

本论文的主要思路是对OFDM系统分单个模块的进行各模块的仿真和验证。当每个模块都做完仿真验证,且功能正确以后。再以上一级模块为单位,进行该级模块的仿真验证。如此下去,直到顶级模块。当完成顶级模块的仿真后, 所生成的网表文件,可下载到FPGA芯片上,也可生成CIF或GDSI版图数据文件去制版。

4.3 OFDM系统仿真过程

首先我通过在网上查询教程以及请教同学熟练地掌握了一些必要的工具软件的安装使用,例如,Quartus II 13.0 FPGA设计系统软件和ModelSim-Altera 10.1d仿真软件的下载安装使用。这些内容在此我就不做介绍了。

先建立一个工程。在Assignments -> Settings中设置仿真工具为ModelSim。这样Quartus就能无缝调用ModelSim了。

图4.1 设置

OFDM的系统结构图如图2.2所示,本来是应该分单个模块的进行各模块的仿真和验证。再以上一级模块为单位,进行该级模块的仿真验证。如此下去,直到顶级模块。通过认真阅读所提供模型的代码,我弄清了各个模块的组织结构。可是由于时间有限,并且有的代码存在错误,所以在此我就以时间同步模块为例来介绍下仿真的过程,同步模块的重要性我已经在前面的章节中做出了说明。

图4.2 同步模块的架构

如上图所示,同步模块有三个小模块,Quantization、Match Filtering、Symbol

Output。因此要分别对它们进行仿真,再对顶级模块进行仿真。

对每一个小模块编写Testbench。一个最基本的Testbench包含三个部分,信号定义、模块接口和功能代码。其实Testbench可以看做一个模块或者设备,和你自己编写的模块进行通信。通过Testbench模块向待测模块输出信号作为激励,同时接收从待测模块输出的信号来查看结果。还需要自己设置一些激励信号,激励信号的内容就是肯能会输入到待测模块中的波形。设置完时钟和复位,就需要设置输入信号。运行仿真之前,还要设置一下。在Simulation选项卡中配置仿真选项,可以配置仿真语言、仿真时间的格式以及输出目录。选中mpile test bench,点击TestBenches打开对话框。点击New新建一个,填入模块的名称,设置仿真运行的时间,并将刚才编写的Testbench添加进来。就可以仿真了。

图4.3 顶层模块的仿真图

如下为模块一Quantization的仿真结果

图4.4 模块一Quantization的仿真图

其他模块的仿真过程都是类似的,仿真图此处就不给出来了。从仿真结果可以看出,对OFDM的时间同步模块的设计在逻辑上是很合理的,模块的功能是没有问题的。

4.4 结论

我的毕业设计论文的主要目的是通过对OFDM系统基于FPGA进行仿真和验证,学会现代集成电路的仿真和验证的过程和方法。

第一章我简要地介绍了移动通信的发展和OFDM产生的原因以及OFDM的特点,包括优势和不足,优势体现了它被广泛使用的原因,对于不足,随着理论的探究我们要不断去克服现在存在的问题,让它给我们带来更多的好处。

第二章介绍了OFDM的基本原理及组成结构,通过对OFDM工作原理的更深刻地理解,让我们能够更好去应用它造福人类。

第三章了比较详细地讲了OFDM的关键技术,信道编码、交织、串并转换/并串转换、星座调制、IFFT变换/FFT变换、插入循环前缀以及同步技术等,可以看出同步技术在OFDM系统中的是十分重要的。

第四章介绍了OFDM系统的仿真过程,仿真的思路是很主要的。仿真的主要思路是分单个模块进行各模块的仿真和验证,直到顶级模块。通过认真阅读所提供模型的代码,我弄清了各个模块的组织结构。可是由于时间有限,并且有的代码存在错误,所以我就以时间同步模块为例介绍了仿真的过程,仿真结果可以看出,对OFDM的时间同步模块的设计在逻辑上是很合理的,模块的功能是没有问题的。

基带信号处理芯片

基带信号处理芯片 一种基带信号处理芯片,其包括多个信号输入输出端、模拟信号理模块、基带信号产生模块、基带信号处理模块、控制模块、及钟模块,其中,模拟信号处理模块主要用于对待发射的信号或待理的基带信号进行包括模数转换的预处理,然后待发射的信号由带信号产生模块进行处理以产生相应的基带信号,而待处理的基信号由基带信号处理模块进行处理后以推动后续的部件,所述控模块通过对基带信号产生模块和基带信号处理模块的控制以实现两者处理的信号的加解密及静音等的控制,同时由时钟模块向所控制模块提供其工作所需的时钟,如此可在单一芯片上集成模拟数字基带信号处理和控制功能。

基带信号处理芯片 一种基带信号处理芯片,包括多个信号输输出端,其特征在于包括:模拟号处理模块,其具有在信号输入端输入的发射的信号和待处理的基带信号之间进选择的选择器、用于对所述选择器所选择信号进行滤波的抗混叠滤波器、及用于将述抗混叠滤波器输出的信号进行模数转的模数转换器;基带信号产生块,具有用于将所述模数转换器输出的待射信号进行低通滤波的第一低通滤波器、所述第一低通滤波器输出的信号能量进检测的能量检测器、用于对所述第一低通波器输出的信号进行压缩的压缩器、用于除所述压缩器输出的信号中的噪声的第高通滤波器、对所述高通滤波器输出的信进行加密的加密单元、对所述加密单元输的信号进行预加重的预加重滤波器、用于所述预加重滤波器输出的信号进行增益调的发射增益粗调单元、用于限制所述发增益控制单元输出的信号的幅度的限幅器

用于滤除所述限幅器产生的高频信号的二低通滤波器、用于对所述第二低通滤波输出的信号进行增益细调的发射增益细单元、用于将所述发射增益细调单元输出信号及静音控制信号相叠加的叠加器、用将所述叠加器输出的信号进行数模转换供信号输出端输出的第一数模转换器、及别用于在所述压缩器之前和预加重滤波之后插入信号的两信号插入单元基带信号处理模块,具有用于将所述模数换器输出的待处理的基带信号进行低通波的第三低通滤波器、用于将所述第三低滤波器输出的信号进行高通滤波的第二通滤波器、用于将所述高通滤波器输出的号进行去加重的去加重滤波器、用于将所去加重滤波器输出的信号进行解密的解单元、用于将所述解密单元输出的信号进扩展的扩展器、用于将所述扩展器输出的号进行增益控制的增益控制单元、用于将述增益控制单元输出的信号进行数模转以供信号输出端输出的第二数模转换单元用于将所述第三低通滤波器输出的信号

linux下的fpga驱动

#ifndef _FPGA_H_ #define _FPGA_H_ #define INTMSK (0x4A000008) #define EINTMASK (0x560000A4) #define S3C2410_ENABLE_EINT8_23 (~(1<<5)) #define S3C2410_ENABLE_EINT18 (~(1<<18)) #undef PDEBUG /* undef it, just in case */ #define DEBUG //调试时添加此行程序,实际产品中需要注释掉 #ifdef DEBUG # define PDEBUG(fmt, args...) printk( KERN_WARNING "fpga: " fmt, ## args) #else # define PDEBUG(fmt, args...) /* not debugging: nothing */ #endif #define DEVICE_NAME "FPGA" #ifndef FPGA_NR_DEVS #define FPGA_NR_DEVS 1 /*设备数*/ #endif #define FPGAMEM_SIZE 1024/*FPGA每次产生中断可以读取到缓存的数据大小*/ #define FPGACMD_SIZE 3 /*FPGA每次产生中断可以读取到缓存的数据大小*/ #define FPGA_ADDR_START (0x08000000) //nGCS1地址 #define FPGA_ADDR_SIZE 0x30 //申请IO内存的大小 #define FPGA_ADDR_DATA 0x10 //FPGA数据寄存器偏移地址 #define FPGA_ADDR_CMD 0x14 //FPGA命令寄存器偏移地址 /* 定义幻数,定义一个字符,8位,用于检测是否是这类设备,ioctl-number.txt中给出了已经使用的幻数,定义的时候注意避免冲突*/ #define FPGA_IOC 'k' /* 定义命令,这里的命令都是unsigned int类型*/ #define FPGA_IOC_ALLON _IO(FPGA_IOC, 0) // #define FPGA_IOC_ALLDOWN _IO(FPGA_IOC, 1) // #define FPGA_IOC_SET _IOW(FPGA_IOC, 2, int) // #define FPGA_IOC_CLEAR _IOW(FPGA_IOC, 3, int)// #define FPGA_IOC_MAXNR 4 //定义命令的最大序列号

基于FPGA直流电动机驱动的设计

河南大学2014届本科毕业论文 论文题目 基于FPGA直流电动机驱动的设计 论文作者姓名:______________ 作者学号:___1003618004_______ 所在学院:民生学院 所学专业:_电子信息科学与技术_ 导师姓名职称:___ _______ 论文完成时间: 2014年04月24日 _ I

河南大学民生学院本科毕业论文 目录 摘要: ..................................................................................... 错误!未定义书签。 0 前言 (2) 1 绪论 (2) 1.1 课题的来源 (2) 1.2 课题研究的目的及意义 (3) 1.3 课题国内外研究现状 (4) 1.4 课题实质性展现 (4) 2直流电动机的概括 (4) 2.1 直流电动机的基本构成 (4) 2.2 直流电动机的工作原理 (5) 2.3 直流电动机的性能 (6) 3 直流电动机的控制及PWM(脉宽调制) (7) 3.1 控制器的控制电路 (7) 3.2 转子位置传感器 (8) 3.3 直流电动机的控制原理详解 (9) 3.4 直流电机转速控制与PWM脉宽调制 (11) 4 FPGA及其组成的控制器 (12) 4.1 FPGA芯片 (13) 4.2 VHDL硬件描述语言 (14) 4.3 运用FPGA来实现对直流电动机的控制 (15) 4.4 PWM(脉宽调制信号) (16) 4.5速度检测 (19) 5 结论 (20) 参考文献 (20) II

基于FPGA的直流电机驱动的设计 电子信息技术在如今的社会中已然达到迅猛发展阶段,国家也在大力加强电子商务领域的引导性投资,可见其在当今社会中的重要性。 电子技术的发展方向。可以说模拟电子技术是电子技术的根本,在调制解调,功率放大,信号放大、整流稳压等电路方面具有非常重要作用,移动通信等领域都不可或缺。电子技术的另外一个重要组成部分数字电子技术同等重要的,和模拟电子技术相对比,数字电子技术具有稳定性好,精度高,抗干扰性强的优势。也正是因为数字电子的这些优势使得它在一些领域的发展更胜于模拟电子,数字滤波器就是一个很好的证明。 数字电子技术目前也在向两个截然相反的方向发展,一是基于通用处理器的软件开发技术,如单片机、bps等技术,它们是在一个通用处理器的基础上结合少量的硬件电路设计来完成系统的硬件电路,而将主要精力集中在算法、数据处理等软件层次上的系统方法。另一个方向是基于CPLD/FPGA的可编程逻辑器件的系统开发,其特点是将算法、数据加工等工作全部融入系统的硬件设计当中,在“线与线的互联”当中完成对数据的加工。 单片机微处理器作为核心来实现直流电机的控制已然跟不上时代发展的需求。由此电机控制器也由模拟分立原件构成的电路向数模混合、全数字方向发展。此文章主要研究了FPGA芯片在直流电机控制器中的作用。文章详细的介绍了直流电机的类型、结构、工作原理、PWM调速原理和FPGA集成芯片。后对所需应用的硬件语言VHDL方面知识也进行了介绍。从而为论文的具体设计部分提供了理论基础。FPGA的电机控制中,最基本的作用有三:组合逻辑、计数器、状态机。 关键词: FPGA芯片;直流电机;硬件描述语言(VHDL);PWM;现场可编程门阵列 DC motor driven FPGA-based design Electronic information technology in today's society has already reached the rapid development stage, countries are vigorously strengthen the field of electronic commerce to guide investment, and its importance in today's society . Direction of development of electronic technology. It can be said analog electronics technology is the fundamental electronic technology, the modem, power amplification, signal amplification, rectification regulators and other aspects of the circuit has a very important role in areas such as mobile communications are indispensable. Another important part of the digital electronic technology as important as electronic technology, and the relative ratio of analog electronics, digital electronics technology has good stability, high accuracy, strong anti-interference advantages. It is also because of these advantages make it the development of digital electronics in some areas more than analog electronics, digital filter is a good proof. Digital electronic technology is also currently the two diametrically opposite 1

SERDES的FPGA实现

芯片功能的增加和数据吞吐量的要求,促使芯片行业从较低数据率的并行连接,转向较高速度的串行连接。SERDES(Serializer-Dese rializer,)是经高速差分对,而不是经较低速度的并行总线传输串行化的数据。一个实例是用单个PCI-Express通道,替代传统的32位、64MHz PCI总线(可达到2.112Gb/s),仅用4条线(运行在2.5GHz),可达到4Gb/s 总数据率。简言之,SERDES协议允许用较少的引脚数传输较高的数据率。 典型的SERDES的FPGA实现 图1给出在复杂的FPGA实现中各种可能的SERDES接口,包括数据通路(芯片到芯片,SPI 4.2,PCI-Express,SGM11)、背板(GbE/GSM11,PCI Express/AS,专用)接口、存储器接口(DDR1/ⅡSDRAM,QDRⅡSRAM)。可由FPGA实现的芯片包括存储器控制器、帧调节器/MAC、DSP协处理器、控制板接口和背板驱动器。 SERDES接口有两类:源同步(SS)接口和时钟数据恢复(CDR)接口。这两类接口的基本差别是如何实现同步。源同步接口有一个伴随传输数据的分离时钟信号。CDR没有分离的时钟信号,代之以嵌入在数据开关转换中的时钟。这就是说,CDR接收机将锁相数据信号本身来得到时钟。表1给出这两类接口的基本差别。CDR接口通常运行在较高的速度和较长的距离,因而会带来较大的设计问题。基于此原因,本文主要集中在CDR方面。 图1 典型的SERDES应用 时钟数据恢复 时钟数据恢复(CDR)接收机必须恢复来自数据的嵌入式时钟。更确切地说,时钟起源于数据信令的开关转换。CDR发送到串行化数据开始,然后,变换数据为8b/10b(或类似的编码方法)。编码取8位数据,并变换此数据为10位符号。8b/10b编码在

手机电路原理,通俗易懂

第二部分原理篇 第一章手机的功能电路 ETACS、GSM蜂窝手机是一个工作在双工状态下的收发信机。一部移动电话包括无线接收机(Receiver)、发射机(Transmitter)、控制模块(Controller)及人机界面部分(Interface)和电源(Power Supply)。 数字手机从电路可分为,射频与逻辑音频电路两大部分。其中射频电路包含从天线到接收机的解调输出,与发射的I/Q调制到功率放大器输出的电路;逻辑音频包含从接收解调到,接收音频输出、发射话音拾取(送话器电路)到发射I/Q调制器及逻辑电路部分的中央处理单元、数字语音处理及各种存储器电路等。见图1-1所示 从印刷电路板的结构一般分为:逻辑系统、射频系统、电源系统,3个部分。在手机中,这3个部分相互配合,在逻辑控制系统统一指挥下,完成手机的各项功能。 图1-1手机的结构框图 注:双频手机的电路通常是增加一些DCS1800的电路,但其中相当一部分电路是DCS 与GSM通道公用的。 第二章射频系统 射频系统由射频接收和射频发射两部分组成。射频接收电路完成接收信号的滤波、信号放大、解调等功能;射频发射电路主要完成语音基带信号的调制、变频、功率放大等功能。手机要得到GSM系统的服务,首先必须有信号强度指示,能够进入GSM网络。手机电路中不管是射频接收系统还是射频发射系统出现故障,都能导致手机不能进入GSM网络。 对于目前市场上爱立信、三星系列的手机,当射频接收系统没有故障但射频发射系统有故障时,手机有信号强度值指示但不能入网;对于摩托罗拉、诺基亚等其他系列的手机,不管哪一部分有故障均不能入网,也没有信号强度值指示。当用手动搜索网络的方式搜索网络时,如能搜索到网络,说明射频接收部分是正常的;如果不能搜索到网络,首先可以确定射频接收部分有故障。 而射频电路则包含接收机射频处理、发射机射频处理和频率合成单元。 第一节接收机的电路结构 移动通信设备常采用超外差变频接收机,这是因为天线感应接收到的信号十分微弱,而鉴频器要求的输人信号电平较高,且需稳定。放大器的总增益一般需在120dB以上,这么大的放大量,要用多级调谐放大器且要稳定,实际上是很难办得到的,另外高频选频放大器的通带宽度太宽,当频率改变时,多级放大器的所有调谐回路必须跟着改变,而且要做到统一调谐,

ISE中FPGA的实现流程

一.ISE实现的步骤 在综合之后,我们开始启动FPGA在ISE中的实现过程,整个过程包括以下几个步骤: 1.Translate - 将输入的网表文件和约束文件整合后输出到一个Xilinx私有的通用数据库文件 (Native Generic Database,NGD)中。 2.MAP-将设计映射到目标器件的资源上,可以选择在此阶段完成资源的布局。 3.Place and Route-按照时序约束的要求,完成设计的布局布线。 4.Generate Programming File- 生成一个可下载到FPGA器件的bit流文件。 本文将会详细的介绍如何完成一个设计的实现过程以及实现过程的这四个步骤。 二.启动实现过程的方法 1.在design窗口的第一行,找到view选项,选中Implementation模式,表示design窗口中显示的是Implementation过程的界

面。新建工程中默认选择Implementation 模式。 2.在design窗口上半部分的hierarchy子窗口中,点击顶层文件。 3.在design窗口下半部分的processes子窗口中,可以双击Implement Design启动完整实现过程,也可以右键选中run启动 完整实现过程。同时,可以展开Implement Design,单独执行Translate、MAP、Place and Route等过程。Implement 结束以后,可以双击Generate Programming File生成bit流文件。Generate Programming File过程也可以通过邮件选中 run来启动。 三.Translate Translate过程将输入的所有网表文件和约束文件进行整合,输出到一个NGD(Native Generic Database)文件中。NGD文件是Xilinx自定义的一种通用数据库文件,在文件中设计被映射成各种NGD 定义的基元,例如与门、或门、LUTs、flip-flops和RAM等。NGD文件中同时包含着原始网表文件中描

GPS接收机基带信号处理算法的研究与实现

GPS接收机基带信号处理算法的研究及实现

摘要: 全球定位系统(Global Positioning System—GPS)作为全球最重要的定位系统经过二十多年的发展已经日臻成熟和完善。因其所具备的高可靠性、高精度、低成本的、具有便携可移动能力的特点,逐渐被越来越多的用户所采用。目前在航空航天、交通、通信、气象等许多领域它作为一项重要的技术而被广泛的使用。随着人们应用领域的不断广泛和深入,人们希望在许多恶劣环境下GPS接收机也能提供良好的定位导航服务,这就对GPS技术带来了新的挑战,因为在许多恶劣环境下比如信号遮蔽、多径干扰、卫星信号间的互相关串扰等,传统接收机的性能将严重下降,甚至不能工作。为了克服这些应用上的限制,就必须在设计GPS接收机技术上有所创新,而GPS接收机的核心是基带信号处理算法。本文的研究容是GPS 接收机的基带数字处理算法及相应的芯片实现方案。根据GPS信号结构特点,从基带解扩解调的角度建立相应的数学模型,针对GPS信号处理的两大关键技术捕获和跟踪,推导出每一部分性能与相应参数的关系,尤其分析了在噪声环境下的各个部分的性能特性,同时还介绍了GPS基带芯片的电路结构和实现方案。本文首先介绍GPS基本原理和信号结构,给出了GPS接收机基带的信号处理流程,并详细介绍了GPS基带需要完成的任务和功能。接着重点介绍GPS信号捕获算法,详细分析了传统的穿行搜索算法和改进的FFT快补算法的各自性能。根据估计检测理论分析误警概率和检测概率,提出了最优的搜索检测器。然后又详细分析了GPS跟踪环路的性能,介绍了锁相环理论的一些基本理论,并根据实际的应用重点分析了三阶环路的性能,同时给出了伪距测量误差和环路跟踪误差的关系。最后给出了详细的测试结果。 三段式,背景(10%)、工作(50%)、结果(40%) 关键字: GPS,基带算法,GPS捕获,GPS跟踪

基带电路原理图

FLASH电路 FLASH信号作用描述 数据总线:ED0-ED15,共16根数据线,用于传输数据。 地址总线:EA00-EA23,共24根地址线,用于存储单元寻址。控制总线: ERD:写控制信号; EWR:读控制信号; /WATCHODG:复位信号,用于FLASH的软件复位; /CE_F1、/CE_F2:FLASH存储区域选择信号; /ECS1_PSRAM:PSRAM片选信号; /ELB、/EUB:PSRAM存取区域选择信号; 电源供电信号:VMEM。

照相电路

主屏LCD显示电路 SIM卡电路

马达电路 PWM2_VIB_EN经过PMIC转换后变成马达的驱动信号VIB_DRV,R409为限流电阻,马达可以和键盘灯通过调整限流电阻R或者调整

占空比调整背光亮度一样调整马达的震感。马达电路上的二极管 D403是由于马达为线圈,运作时会产生反向电动势,若无二极管反 向电动势无法消耗,会影响马达的寿命,二极管可以在马达停震后 把反向电动势消耗掉而保护线圈。 MIC电路 MICBIASP和MICBIASN为MIC电路的正负两路偏置电压,一般为2.4V-2.7V左右的电压。C204,C205主要为滤除射 频信号的干扰。如果有GSM900MHZ的干扰则使用33PF的 电容,如果有DCS1800MHZ的干扰可以使用12PF的电容,如果有WIFI 2.4GHZ的干扰则使用8.2PF的电容。C206主 要是抑制共模信号。C201,C202为100NF电容,主要作用 为隔直通交,防止直流电使PA饱和,产生信号偏移,主要 滤除100HZ一下的电流。B201,B202为磁珠,主要滤除 高频部分的干扰。MIC偏置电流流向为从MICBIASP----

Xilinx FPGA PCIE Linux驱动程序

// (c) Copyright 2009 聳2009 Xilinx, Inc. All rights reserved. // // This file contains confidential and proprietary information // of Xilinx, Inc. and is protected under U.S. and // international copyright and other intellectual property // laws. // // DISCLAIMER // This disclaimer is not a license and does not grant any // rights to the materials distributed herewith. Except as // otherwise provided in a valid license issued to you by // Xilinx, and to the maximum extent permitted by applicable // law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND // WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES // AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING // BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- // INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and // (2) Xilinx shall not be liable (whether in contract or tort, // including negligence, or under any other theory of // liability) for any loss or damage of any kind or nature // related to, arising under or in connection with these // materials, including for any direct, or any indirect, // special, incidental, or consequential loss or damage // (including loss of data, profits, goodwill, or any type of // loss or damage suffered as a result of any action brought // by a third party) even if such damage or loss was // reasonably foreseeable or Xilinx had been advised of the // possibility of the same. // // CRITICAL APPLICATIONS // Xilinx products are not designed or intended to be fail- // safe, or for use in any application requiring fail-safe // performance, such as life-support or safety devices or // systems, Class III medical devices, nuclear facilities, // applications related to the deployment of airbags, or any // other applications that could lead to death, personal // injury, or severe property or environmental damage // (individually and collectively, "Critical // Applications"). Customer assumes the sole risk and // liability of any use of Xilinx products in Critical // Applications, subject only to applicable laws and // regulations governing limitations on product liability. // // THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS // PART OF THIS FILE AT ALL TIMES. //-------------------------------------------------------------------------------- //-- Filename: xbmd.c //-- //-- Description: XBMD device driver. //-- //-- XBMD is an example Red Hat device driver which exercises XBMD design //-- Device driver has been tested on Red Hat Fedora FC9 2.6.15. //--------------------------------------------------------------------------------

串口通信FPGA实现

FPGA设计与应用 串口通信实验报告 班级:1105103 姓名:苏林效 学号:1110510321 日期:2014年10月29日

实验性质:验证性实验类型:必做 开课单位:电信院学时:2学时 一、实验目的 1、了解串口通信的基本原理; 2、掌握锁相环的基本原理和使用方法; 3、掌握起始位和停止位的含义及实现方法; 4、掌握VHDL状态机的基本使用方法; 5、掌握基本的接口设计和调试技巧; 二、实验准备 2.1 串口通信原理(1分) 串口通信是以字节为单位,按位传输数据和接收数据的。 先看一下发送数据:串行线缆的两端事先约定好串行传输的参数(传输速度、传输格式等),之后进行传输,①当没有数据传输的时候,发送端向数据线上发送“1”;②当要传输数据时,发送端先发送一个“0”来表示要传输数据了,这样当接收端检测到“0”便可以知道有数据到来了;③开始传输后,数据以约定的速度和格式传输;④每次传输完成一个字节之后,都在其后发送一个停止位“1”。这样,发送数据就结束了。 再来看接收数据,初始状态是等待状态,接收的一直是“1”,当检测到0时进入检验状态,在检验状态下如果再检测到0(一般采用过采样)则进入接收数据状态,当接收完8位比特数后判断是否有停止位,如果有则此字节接收结束,重新进入等待状态准备接受下一字节。 2.2 锁相环的基本原理(1分) 锁相环是以锁定输入载波信号的相位为目标的一种载波环实现形式。它由三部分组成:鉴相器(PD)、环路滤波器(LPF)和压控振荡器(VCO)。如上图。 锁相环的工作原理:压控振荡器的输出经过采集并分频,后与基准信号同时

输入鉴相器。鉴相器通过比较上述两个信号的相位差,输出结果通过环路滤波器,滤除噪声和高频分量,然后输出一个直流脉冲电压,用它来控制VCO ,使它的频率改变,使()lim 0c p t θ=。经过较短时间后,VCO 的输出稳定于某一值。环路一旦进入锁定状态后,压控振荡器的输出信号与环路的输入信号(参考信号)相位时刻保持一致。 三、代码及测试 3.1程序及分析(2分) 顶层原理图如上所示,主要包括三个模块,锁相环(输出57.69MHz )、分频(输出接受时的10倍采样速率和数码管显示速率)、接受(按57.6kbps 接受从串口发来的数据)。 分频模块代码: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY DIV IS PORT(CLK : IN STD_LOGIC; --锁相环输出时钟57.69M COUT1 : OUT STD_LOGIC; --分频器输出采样时钟576k COUT2 : OUT STD_LOGIC);

位同步的FPGA实现

摘要 同步是通信系统中很重要的一个过程,它可以使通信系统更稳定、更可靠、更准确,它是数字通信系统有顺序进行的技术支撑。同步分为位同步、帧同步和载波同步,我们对数字通信信号的同步除了载波同步和帧同步之外,还要进行位同步。位同步也就是保证接收端准确有效抽样判决数字基带信号序列的基础,一般位同步信号从解调后的基带信号中提取出来,同时也可以从已调频带信号当中直接提取位同步信号,一般可以进行一元中央位置采样的决定,最好是在接收元素结束时间采样的决定。位同步有插入导频法(一种外同步法)和直接法(一种自同步法),本文运用了数字锁相法提取位同步电路的方案,以大规模可编程逻辑器件FPGA为主控制器,以VHDL硬件描述语言为主要语言对其进行在线编程,在QuartusⅡ软件工具中进行仿真和调试,以达到功耗低、成本低、效率高的技术要求。 关键词:位同步;数字锁相电路;FPGA;VHDL;QuartusⅡ

ABSTRACT Synchronization is a very important process in communication system, it can make the system more stable, more reliable, more accurate, it is the digital communication system has the technical support of the order. Synchronization is divided into bit synchronization, frame synchronization and carrier synchronization, we on the digital communication signal in addition to frame and carrier synchronization and bit synchronization. Bit synchronization is the basis to ensure the correct and effective decision receiver sampling digital baseband signal sequence,general synchronization signal is extracted from the baseband demodulated signals, but also can directly extract bit synchronization signal from the frequency band signal has, in general can be a central position sampling decisions, preferably in the receiving elements in the end time sampling decision. Bit synchronization is the pilot insertion method (a kind of external synchronization method) and direct method (a self synchronizing method), this paper uses digital PLL method of bit synchronization circuit extraction, with the large-scale programmable logic device FPGA as the main controller, using the VHDL hardware description language is the main language of the online programming, simulation and debugging in QuartusⅡsoftware tools, to meet the requirements of low power consumption, low cost, high efficiency technology Keywords: Bit synchronization (symbol extraction process); digital phase locked loop circuit (bit synchronization circuit); FPGA; VHDL; QuartusⅡ

手机基本电路工作原理

第一章 第一节T18机型逻辑电路原理 T18是一款支持双卡单待,实现G网双号转换待机,可以自由选用号码拨打电话,电路采用MTK 6226方案平台。(图1) (图1) 由于T18是采用MTK方案,在电路上原理有很多是与前期MTK电路相似,在这里不再一一讲解,具体介绍一下双卡待机电路的原理。 1、双卡电路工作原理电路 T18的双卡待机是指由用户选择性进行手动进行切换两张不同的SIM卡,其与前期A280双卡双待不同的,T18只有一个射频一个基带电路,其双卡转换主要是由软件和SIM转换控制器来完成,具体电路见图2

(图2) 其工作原理: 当手动切换时,控制中心会发出一个SIM-SWITCH的转换开关指令给到U505转换芯片,经内部的电子开关把VSIM与VSIM1、VSIM2,IO-SIM与SIMDA1、SIMDA2,CLK-SIM与SIMCLK1、SIMCLK2,RST-SIM与SIMRST1、SIMRST2进行转换连接,实现控制SIM卡的数据总线来控制SIM卡的正常工作。 2、充电电路 当外部充电器接到DC 插孔时,CHANGE电源分三路提供,第一路经R12、R14分压取得ADC3-VCH充电检测信号,第二路提供给U400的第1脚,第三路提供给U401经R413到电池正极。 其工作原理:当CPU检测到连接充电模式时候,CPU会输送CHG-CNTL控制信号给电源管理模块U400,电源管理模块从2# GATEDRV输出控制信号,控制充电控制管的导通,充电电压将通过R413限流给电池正极充电,同时CPU通过提供的ADC0-、ADC1+电量反馈信号,经电源管理模块U400(4#)ISENSE检测实现对充电过程进行监控,经U400(6#)CHRDET送到CPU,当检测充电完成后,CPU 将撤销U400(5#)CHG-CNT的控制信号,从而导致充电管U401截止,停止充电。关机充电和开机充电原理相同,只是在关机状态下,CPU未执行其它程序,使手 机仍处于关机状态。如图3

(硬件实现代图)FPGA驱动LCD1602

作者:Lumin QQ:474062042(有改进的地方,希望大家告诉我一下) 于成都理工大学 说明:该驱动只是用来练习状态机,所以没有追求高速度,时钟是分频到毫秒级处理 实际效果: 显示我的英文名Lumin 引脚定义: 大家需要关心的是三个控制线RS,RW,EN 数据总线DATA

驱动的顶层模块及其端口定义 设计思路: 1.该设计使用了一个状态机,实现LCD1602显示字符功能 2. 时序图 关于r/w: 因为液晶只需要写不需要读,所以RW=0,表示液晶一直处于写状态 写命令时: 首先RS=0,E=0同时数据出线在总线上面,延时一段时间,等待数据稳定然后E一个上升沿并保持一段时间,然后拉低为下一次写准备写数据时: 首先RS=1,E=0同时数据出线在总线上面,延时一段时间,等待数据稳定然后E一个上升沿并保持一段时间,然后拉低为下一次写准备 3. 状态机设计状态机设计方法 我在很多书上看了状态机什么经典的三段式,两段式状态机写法。实践来都不好用,可能自己学的不好(知道问题的给我说下)。后面自己发明了一种,现在写了好几驱动,都正确的。模板下面。 TYPE STATE IS(s0,s1,s2,s3);-- 举例只用4个状态 SIGNAL Current_State :STATE:=s0;-- 模板不要NEXT_STATA,我实践来要NEXT_STATA的不好用SIGNAL s0_delay:INTEGER RANGE 1 TO 1000;-- 有多少状态就多少个状态延时信号,如果该状

态不延时,最后不要就 SIGNAL s1_delay:INTEGER RANGE 1 TO 1000; SIGNAL s2_delay:INTEGER RANGE 1 TO 1000; SIGNAL s3_delay:INTEGER RANGE 1 TO 1000; process(Temp_1ms,Current_State) begin if(rising_edge(Temp_1ms)) then case Current_State when s0 =>Lcd_rs<='0'; Lcd_en<='0';data <=x"00" if( s0_delay=100) then Current_State<=s1;--靠颜色的这段话来控制每个状态延时多久怎么走。 s0_delay<=0; else s0_delay<=s0_delay+1; end if; end case; end if; end process; 4. 状态机设计

FPGA实现步进电机控制源代码

FPGA实现步进电机控制源代码.txt我爸说过的最让我感动的一句话:“孩子,好好学习吧,爸以前玩麻将都玩儿10块的,现在为了供你念书,改玩儿1块的了。”module fenpin(clk_48m,reset,out_door,addr,data,data_rd,rd,rw,Grating_a,Grating_b); input clk_48m,data_rd,reset,rd,rw,Grating_a,Grating_b; input [8:0]addr; output out_door; inout [7:0]data; reg flag; reg [23:0]step; reg [23:0]pul_counter; reg [5:0]clk_div1m; reg [23:0]den; reg [23:0]counter; reg [23:0]counter_now; reg [19:0]Grating_counter; reg [7:0]com; reg [7:0]databuff; reg out=0; reg data_link; reg direct; assign data=data_link?databuff:8'bzzzzzzzz; assign out_door=out&flag; always@(posedge clk_48m) if(clk_div1m<6'h2e) clk_div1m <=clk_div1m+1; else clk_div1m<=0; assign clk_1m=(clk_div1m==6'h2e); always @(posedge clk_1m) begin if(!reset) begin

基于FPGA的CMOS摄像驱动设计

基于FPGA的CMOS摄像驱动设计

目录第1章绪论4 1.1FPGA国内外现状及发展趋势 (4) 1.2 FPGA的原理以及与单片机相比的优点 (5) 1.3 FPGA作为RAM (6) 第2章系统方案 (7) 2.1 FPGA开发环境 (7) 2.2 图像采集 (7) 2.2.1 图像传感器 (8) 2.2.3 图像采集系统 (8) 2.3 数据接口设计 (9) 第3章系统硬件设计 (10) 3.1 图像传感器 (10) 3.1.1 CCD与CMOS (10) 3.1.2 CCD/CMOS工作原理 (12) 3.1.3 CMOS集成电路特点 (13) 3.1.4 通过SCCB 总线设置OV7670 的帧频 (15) 3.1.5 图像数据的采集 (16) 3.2 OV7670 (17) 3.3 OV7670与FPGA的接口电路 (19) 3.4 SCCB总线 (19) 3.5 SRAM与FPGA的接口电路 (21) 第4章系统软件设计 (23) 4.1 现场可编程门阵列器件 (23) 4.2 CMOS寄存器配置时序的VHDL描述 (23) 4.3 系统软件 (23) 4.3.1 初始化 (23) 4.3.2 接收数据 (24)

第1章绪论 视频图像采集是视频信号处理系统的前端部分,正在向高速、高分辨率、高集成化、高可靠性方向发展。图像采集系统在当今工业、军事、医学各个领域都有着极其广泛的应用,如使用在远程监控、安防、远程抄表、可视电话、工业控制、图像模式识别、医疗器械等各个领域都有着广泛的应用。本文介绍了一种基于FPGA 的图像采集系统,用户可以根据需要对FPGA 内部的逻辑模块和I/O模块重新配置,以实现系统的重构;而且采用这种设计方案,便于及时地发现设计中的错误,能够有效地缩短研发时间,提高工作效率。 1.1FPGA国内外现状及发展趋势 经过70年的不断发展,FPGA已由当初的1200门发展成为今天的百万门级。通过不断更新优化产品架构和生产工艺,实现了更多的逻辑单元、更高的性能、更低的单位成本和功耗。FPGA(现场可编程逻辑器件)产品的应用领域已经从原来的通信扩展到消费电子、汽车电子、工业控制、测试测量等广泛的领域。而应用的变化也使FPGA产品近几年的演进趋势越来越明显:一方面,FPGA供应商致力于采用当前最先进的工艺来提升产品的性能,降低产品的成本;另一方面,越来越多的通用IP(知识产权)或客户定制IP被引入FPGA中,以满足客户产品快速上市的要求。此外,FPGA企业都在大力降低产品的功耗,满足业界越来越苛刻的低功耗需求。 第一时间采用新工艺提升性能降低成本:半导体产品的集成度和成本一直在按照摩尔定律演进。在这方面,作为半导体产品的重要一支——可编程逻辑器件也不例外。最先进的半导体工艺几乎都会在第一时间被应用在FPGA产品上。而每一次工艺升级带来的优势,都会在产品的功耗、最高运行频率、容量以及成本上得到体现。 引入更多通用和定制IP向解决方案供应商转变:近5年来,FPGA的应用已经从过去通信基础设备这一非常窄的领域迅速扩展到了今天非常广泛的应用领域。在许多新兴和快速成长的市场上,FPGA作为核心器件而被广泛采用。无线通信、工业、科学及测量、医疗设备、音视频广播、汽车、计算、存储应用和快速发展的消费品市场,都成为FPGA业务发展的重点领域。在这种情况下,FPGA企业也开始了相应的转型,以适应新的发展需求。

相关文档
最新文档