数字系统设计大作业

数字系统设计大作业
数字系统设计大作业

2014 ~ 2015学年第1 学期

《数字系统设计》

大作业

题目:4×4阵列键盘键信号检测电路设计专业:电子信息工程

班级:

姓名:

指导教师:

电气工程学院

2015 年12月

摘要

人类文明已进入到高度发达的信息化社会。信息化社会的发展离不开电子信息产品开发技术、产品品质的提高和进步。电子信息产品随着科学技术的进步,其电子器件和设计方法更新换代的速度日新月异。实现这种进步的主要原因就是电子设计技术和电子制造技术的发展,其核心就是电子设计自动化(EDA,Electronic Design Automation)技术,EDA技术的发展和推广应用又极大地推动了电子信息产业的发展。为保证电子系统设计的速度和质量,适应“第一时间推出产品”的设计要求,EDA技术正逐渐成为不可缺少的一项先进技术和重要工具。目前,在国内电子技术教学和产业界的技术推广中已形成“EDA热”,完全可以说,掌握EDA技术是电子信息类专业学生、工程技术人员所必备的基本能力和技能。

本设计主要利用VHDL硬件描述语言在EDA平台xilinx.ise.7.1i上设计一个4×4阵列键盘扫描电路,将行扫描信号输入阵列键盘,读取列信号的值,输出按键编码,从而判断出按键按下的位置。并且使用Modelsim软件进行模拟仿真,下载到EDA实验箱进行硬件验证。

关键词:EDA VHDL语言 4×4阵列键盘扫描

目录

《数字系统设计》 (1)

数字系统设计.............................................................................. 错误!未定义书签。摘要 (2)

关键词:EDA VHDL语言 4×4阵列键盘扫描 (2)

1、实验目的 (4)

2、实验要求 (4)

3、实验原理 (4)

4、总体框图 (5)

4.1.1方案一 (5)

4.1.2方案二 (5)

4.2设计思路 (6)

5、功能模块介绍 (8)

5.1键盘消抖模块 (8)

5.2键盘模块 (8)

5.3VHDL部分程序 (8)

6、实验结果 (10)

6.1综合电路图 (10)

6.2时序仿真 (11)

1、实验目的

(1)通过常见基本组合逻辑电路的设计,熟悉EDA设计流程;

(2)熟悉文本输入及仿真步骤;

(3)掌握VHDL设计实体的基本结构及文字规则;

(4)理解硬件描述语言和具体电路的映射关系;

(5)用VHDL设计一个能识别4×4阵列键盘的实用电路。

2、实验要求

要求通过查阅相关书籍资料,熟悉和初步掌握VHDL语言的语法及其功能,深入分析4×4键盘扫描电路的原理,然后运用VHDL硬件描述语言和图形设计综合方法,实现4×4键盘扫描电路的程序设计,通过运用xilinx.ise.7.1i软件设置输入端口,实现模拟仿真,得到仿真波形图后,并记录结果。

3、实验原理

4×4阵列键盘十分常用,如下图是此键盘电路的原理图,10芯接口也如下。通常,此类按键的识别法有两种:扫描法和反转法。扫描法,即当按下某键后,为了辨别和读取键信息,向A口扫描输入一组分别只含一个0的4位数据,如1110,1101,1011等。若有键按下,则B口一定会输出对应的数据,这时,只要结合A、B口的数据,就能判断出键的位置。如当键S0按下,对于输入的A=1110时,那么B=0111.于是{B,A}=0111_1110就成了S0的代码。

反转法中,A、B口都必须接双向口。首先将A口设定为输出,B口设定为输入。然后向A口输出全0,接着读B口。若读入的数据中有一位是0,则表明与该位对应的列线上有某键被按下,存储此值(否则循环检测);然后反过来,将A口设定为输入,B口设定为输出。向B口输出全0,读A口,其中必有一位为0.将此4位数与刚才从B口读得的数据组合即得被按键的特征码。最后通过查表即得所按键的码。

4×4按键电路

4、总体框图 4.1.1

上图为方案一的设计框图,键盘按键按下后,经过键盘扫描电路后,直接输出显示。本方案优点在于简单易懂,缺点在于无法消除按键按下时和之后弹起时的抖动对扫描电路的干扰。

4.1.2方案二

上图为方案二的设计框图,键盘按键按下后,先经过消除抖动电路之后,再进入键盘扫描电路,这样就可以使CPU只处理一次按键操作,避免了按键按下时和之后弹起时的抖动对扫描电路的干扰。另外,LED灯显示可以更直观地看到实验结果。

4.2设计思路

本设计采用方案二,主要由三个功能模块组成:键盘消抖模块、键盘扫描模块、译码显示模块。

(1)键盘消抖模块

因为普通的按键都是接触式的,当按键闭合或释放时,上下接触面都会产生一个很短暂的抖动,这个抖动时间一般都会持续5-10ms,虽然这个抖动时间很短,但对于FPGA工作在50M的高频率上的器件来说,还是可以捕捉的到的。为了使CPU对于一次按键操作只处理一次,在软件中必须加入消除抖动处理。

本模块是由四个上升沿触发型D触发器和一个四输入的与门构成的。四个D 触发器用同步时序方式连接,即:将它们的时钟输入端都连在一起。在工作时四个D触发器与clk时钟信号同步,输入信号以移位串行方式向前传递。

(2)键盘扫描模块

阵列式键盘又叫做行列式键盘,用带有I/O口的线组成行列结构,按键设置在行列的交点上。此模块所用键盘是表1所示的4×4阵列键盘。按键设置在行列交叉点,行列线分别接到按键开关的两端,每个键的按下与否由这个键的行电平和列电平共同决定,当按下时为低电平“0”,没有按下时为高电平“1”。

表1、4×4阵列键盘

本模块设计采用扫描的方法来实现对键盘上每个键的识别,即当进行行扫描

时,扫描信号由行线进入键盘,以“1110”、“1101”、“1011”、“0111”的顺序每次扫描不同的一行。之后,读取列线的电平信号,判断是哪个键按下了,如果列线全为高电平,则代表该列没有按键按下,如果列线有输入低电平,则低电平信号所在的行和出现低电平的列的交点处有按键按下。假设行线为a,列线为b,长度都为4。将输入行扫描值a与列读取值b并置形成组合值作为按键的输入值,并对每一个键进行编码(如表2键盘参数所示),使其送入输出变量r(定义为4位),即可判断出按键按下的位置。

表2、键盘参数

3)译码显示模块

该模块包括输出低电平与LED灯显示。因为实验箱的LED灯是低电平有效,所以需要把输出变量r进行逻辑“非”变换。该部分采用了4个非门,分别

将输入的4位扫描模块输出变量取反。LED灯的亮灭表示输出变量r。r为高电平时灯亮,r为低电平时灯灭,这样就能更清晰地显示出实验结果,使人一目了然。

5、功能模块介绍

5.1键盘消抖模块

图5-1消抖动模块

图4为消抖模块的逻辑符号,其中D(3:0)输入按键按下时带抖动的信号,经过消抖之后,Q(3:0)输出消除抖动之后的信号,使得CPU可以只对一次按键处理一次信号。

5.2键盘模块

图5-2键盘模块

图5-2为扫描模块的逻辑符号,其中a[3:0]是4位的行扫描信号,b[3:0]是4位的列读取信号,r[3:0]是4位的按键编码输出。

5.3VHDL部分程序

library ieee;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_1164.all;

entity k44 is

port (clk : in std_logic;--1khz以下

b,a : in std_logic_vector(3 downto 0);

r : out std_logic_vector(3 downto 0));

end;

architecture one of k44 is

--signal c:std_logic_vector(1 downto 0);

signal ba:std_logic_vector(7 downto 0);

--signal e:std_logic_vector(3 downto 0);

begin

ba<=b&a; --ba<=e&a;b<=e;

process(a,clk)

begin

-- if rising_edge(clk) then c<=c+1;

--case c is

-- when "00"=>e<="0111";when "01"=>e<="1011";

-- when "10"=>e<="1101";when "11"=>e<="1110";

--when others=>null;

--end case;

case ba is

when "01111110"=>r<="0000";when "01111101"=>r<="0001"; when "01111011"=>r<="0010";when "01110111"=>r<="0011"; when "10111110"=>r<="0100";when "10111101"=>r<="0101"; when "10111011"=>r<="0110";when "10110111"=>r<="0111"; when "11011110"=>r<="1000";when "11011101"=>r<="1001"; when "11011011"=>r<="1010";when "11010111"=>r<="1011"; when "11101110"=>r<="1100";when "11101101"=>r<="1101";

when "11101011"=>r<="1110";when "11100111"=>r<="1111"; when others=>null;

end case;

--end if;

end process;

end;

6、实验结果

6.1综合电路图

图6-1(a)电路原理图

图6-1(b)RTL电路

6.2时序仿真

对源程序进行操作,生成tbw文件后,继续对.tbw进行操作,点击Simulate Behavioral Model出现如下时序图,并对输入与输出进行赋值,如下:

图6-2(a)时序仿真初始图

图6-2(b)时序仿真结果图

具体分析:

如图6-2(b)所示,

(1)当a=0111,b=1110时,对应输出s=0000,此时对应键盘上的S0,输出信息为1;

(2)当a=0111,b=1101时,对应输出s=0001,此时对应键盘上的S2,输出信息为2;

(3)当a=1101,b=1001时,对应输出s=1001,此时对应键盘上的SA,输出信息为9.

综上所分析,实验仿真结果显然满足课题要求,故该实验方案成立。

数字系统设计试卷2012A卷

中国矿业大学2012~2013学年第一学期 《数字系统设计基础》试卷(A)卷 考试时间:100 分钟考试方式:闭卷 学院_________班级_____________姓名___________学号____________ 一、选择题(20分,每题2分) 1.不完整的IF语句,其综合结果可实现:_________ A. 三态控制电路 B. 条件相或的逻辑电路 C. 双向控制电路 D. 时序逻辑电路 2.关于进程语句说法错误的是_________ A. PROCESS为一无限循环语句(执行状态、等待状态) B. PROCESS中的顺序语句具有明显的顺序/并行运行双重性 C. 进程必须由敏感信号的变化来启动 D. 变量是多个进程间的通信线 3、对于VHDL以下几种说法错误的是___________ A. VHDL程序中的实体部分是对元件和外部电路之间的接口进行的描述,可以看成是定义 元件的引脚 B. 一个完整的VHDL程序总是由库说明部分、实体和结构体等三部分构成 C. VHDL程序中是区分大小写的 D.结构体描述元件内部结构和逻辑功能 4.一个设计实体(电路模块)包括实体与结构体两部分,实体描述___________。 A. 实体与结构体之间的连接关系; B. 器件的内部功能; C. 实体使用的库文件; D. 器件外部可见特性如端口的数目、方向等 5. 组合逻辑电路中的毛刺信号是由于______引起的。 A. 电路中存在延迟 B.电路不是最简 C. 电路有多个输出 D.电路中使用不同的门电路 6. 下列关于临界路径说法正确的是___________ A. 临界路径与系统的工作速度无关 B. 临界路径减小有助于缩小电路规模 C. 临界路径减小有助于降低功耗 D. 临界路径是从系统输入到输出的各条路径中信号通过时间最长的那条路径 7. 关于FPGA和CPLD的区别说法正确的是___________ A. CPLD 更适合完成各种算法和组合逻辑,FPGA 更适合于完成时序逻辑

数字逻辑设计试题中文+答案

2003数字逻辑考题 一 填空题 (每空1分,共15分) 1 [19]10=[ 11010 ]Gray (假设字长为5bit ) 2 若X=+1010,则[X]原=( 00001010 ),[-X]补=( 11110110 ),(假设字长为8bit ) 3 [26.125]10=[ 1A.2 ]16=[ 00100110.000100100101 ]8421BCD 4 65进制的同步计数器至少有( 7 )个计数输出端。 5 用移位寄存器产生11101000序列,至少需要( 3 )个触发器。 6 要使JK 触发器按'*Q Q =工作,则JK 触发器的激励方程应写为(1,1 );如果用D 触发器实现这一转换关系,则D 触发器的激励方程应写为( Q ’ )。 7 在最简状态分配中,若状态数为n ,则所需的最小状态变量数应为([log 2n] )。 8 有n 个逻辑变量A ,B ,C ….W ,若这n 个变量中含1的个数为奇数个,则这n 个变量相异或的结果应为( 1 )。 9 一个256x4bit 的ROM 最多能实现( 4 )个( 8 )输入的组合逻辑函数。 10 一个EPROM 有18条地址输入线,其内部存储单元有( 218 )个。 11 所示CMOS 电路如图Fig.1,其实现的逻辑函数为F=( A NAND B (AB)' ) (正逻辑)。 二 判断题 (每问2分,共10分) 1 ( T )计数模为2n 的扭环计数器所需的触发器为n 个。 2 ( F )若逻辑方程AB=AC 成立,则B=C 成立。 3 ( F )一个逻辑函数的全部最小项之积恒等于1。 4 ( T )CMOS 与非门的未用输入端应连在高电平上。 5 ( F )Mealy 型时序电路的输出只与当前的外部输入有关。 Fig.1 三 (16分) 1 化简下列函数(共6分,每题3分) 1) ()()∑=15,13,11,10,9,8,7,3,2,0,,,m D C B A F 2) ()()()∑∑+=14,5,3,013,12,10,8,6,1,,,d m D C B A F F +E D

电子设计自动化大作业

第 1 页 共 4 页 班级 学号 姓名 命题教师 教研室(系)主任审核(签字) …………………………………………装…………………………………订………………………………线……………………………………… 装订线以内不准作任何标记 2012/2013学年第一学期考试题(卷) 课程名称 电子设计自动化 考试性质 考查 试卷类型 A 使用班级 电子0901~03电信0901~02 考试方法 闭卷 人 数 140 题 号 一 二 三 四 五 六 七 八 九 十 总 成 绩 成 绩 一、查阅相关资料,说出常用的EDA 工具软件分为哪几类?并写出每一类常用的软 件名称及其特点。(15分)。 目前常用的EDA 工具软件:multiSIM7(原EWB 的最新版本)、PSPICE 、OrCAD 、 PCAD 、Protel 、Viewlogic 、Mentor 、Graphics 、Synopsys 、LSIIogic 、Cadence 、MicroSim 等等。一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB 自动布局布线,可输出多种网表文件与第三方软件接口。①SPICE (Simulation Program with Integrated Circuit Emphasis ):是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。1984年,美国MicroSim 公司推出了基于SPICE 的微机版PSPICE (Personal-SPICE )。现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA 软件,在国内普遍使用。最新推出了PSPICE9.1版本。它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。②multiSIM (EWB 的最新版本)软件:是Interactive Image Technologies Ltd 在20世纪末推出的电路仿真软件。其最新版本为multiSIM7,目前普遍使用的是multiSIM2001,相对于其它EDA 软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、瓦特表、双踪示波器(对于multiSIM7还具有四踪示波器)、波特仪(相当实际中的扫频仪)、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪、网络分析仪和电压表及电流表等仪器仪表。还提供了我们日常常见的各种建模精确的元器件,比如电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。模拟集成电路方面有各种运算放大器、其他常用集成电路。数字电路方面有74系列集成电路、4000系列集成电路、等等还支持自制元器件。MultiSIM7还具有I-V 分析仪(相当于真实环境中的晶体管特性图示仪)和Agilent 信号发生器、Agilent 万用表、Agilent 示波器和动态逻辑平笔等。同时它还能进行

verilog数字系统设计教程习题答案

verilog 数字系统设计教程习题答案第二章 HDL 既是一种行为描述语言,也是一种结构描述语言。如果按照一定的规则和风格编写代码,就可以将功能行为模块通过工具自动转化为门级互联的结构模块。这意味着利用Verilog 语言所提供的功能,就可以构造一个模块间的清晰结构来描述复杂的大型设计,并对所需的逻辑电路进行严格的设计。 2.模块的基本结构由关键词module和endmodule构成。 3.一个复杂电路系统的完整Verilog HDL 模型是由若干个Verilog HDL模块构成的,每一个模块又可以由若干个子模块构成。其中有些模块需要综合成具体电路,而有些模块只是与用户所设计的模块交互的现存电路或激励信号源。利用Verilog HDL语言结构所提供的这种功能就可以构造一个模块间的清晰层次结构来描述极其复杂的大型设计,并对所作设计的逻辑电路进行严格的验证。 HDL和VHDL乍为描述硬件电路设计的语言,其共同的特点在于:能形式化地抽象表示电路的结构和行为、支持逻辑设计中层次与领域的描述、可借用高级语言的精巧结构来简化电路的描述、具有电路仿真与验证机制以保证设计的正确性、支持电路描述由高层到低层的综合转换、硬件描述与实现工艺无关(有关工艺参数可通过语言提供的属性包括进去)、便于文档管理、易于理解和设计重用。 5.不是

6.将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。 7.综合工具可以把HDL变成门级网表。这方面Synopsys工具占有较大的优势,它的Design Compile 是作为一个综合的工业标准,它还有另外一个产品叫Behavior Compiler ,可以提供更高级的综合。 另外最近美国又出了一个软件叫Ambit ,据说比Synopsys 的软件更有效,可以综合50万门的电路,速度更快。今年初Ambit 被Cadence 公司收购,为此Cade nee放弃了它原来的综合软件Syn ergy。随着FPGA 设计的规模越来越大,各EDA公司又开发了用于FPGA设计的综合软件,比较有名的有:Sy nopsys 的FPGAExpress,Cade nee 的Syn plity ,Mentor的Leonardo,这三家的FPGA综合软件占了市场的绝大部分。 8.整个综合过程就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形描述,依据给定的硬件结构组件和约束控制条件 进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件。用于适配,适配将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如JEDEC Jam格式的 文件 9.在FPGA设计中,仿真一般分为功能仿真(前仿真)和时序仿真(后仿真)。功能仿真又叫逻辑仿真,是指在不考虑器件延时和布线延时的理想情况下对源代码进行逻辑功能的验证;而时序仿真是在布局布线后进行,它与

(完整版)毕设-简易音乐喷泉设计

电子系统设计 大 作 业 课题:简易音乐喷泉的制作 组员: 任课老师:

目录 一、设计任务和分析 -------------------------------------------------------- 1 二、硬件电路设计 ---------------------------------------------------------- 1 2.1总体设计------------------------------------------------------------ 1 2.2各模块设计---------------------------------------------------------- 2 2.2.1单片机最小系统------------------------------------------------ 2 2.2.2 A/D转换模块------------------------------------------------- 3 2.2.3 音频放大模块-------------------------------------------------- 3 2.2.4 LED灯及电机------------------------------------------------- 4 三、程序设计-------------------------------------------------------------- 5 3.1主程序设计---------------------------------------------------------- 5 3.1.1设计框图------------------------------------------------------ 5 3.1.2程序代码------------------------------------------------------ 5 3.2 A/D转换程序设计--------------------------------------------------- 6 3.2.1 A/D转换程序原理--------------------------------------------- 6 3.2.2 A/D转换程序框图--------------------------------------------- 7 3.2.3 A/D转换子程序代码------------------------------------------- 8 3.3 PWM调压设计------------------------------------------------------- 9 3.3.1 程序框图 ---------------------------------------------------- 9 3.3.2 PWM调压子程序----------------------------------------------- 9 四、调试和测试结果分析 --------------------------------------------------- 11 4.1调试--------------------------------------------------------------- 11 4.2 结果分析 ---------------------------------------------------------- 12

机械系统设计大作业

《机械系统设计》 课程大作业—I 棒料校直机功能原理设计 院(系) 专业 学生 学号 班号 2015年4月

棒料校直机功能原理设计 1 设置棒料校直机功能原理设计的目的 功能原理设计是机械系统设计的最初环节,主要是针对产品的主要功能提出一些原理性构思,也就是针对产品的功能进行原理性设计! 针对某一产品的主要功能,设计人员在进行了大量相关资料查阅之后,应设计出几种不同的功能原理方案来,以便从中选出较理想的一个为下一步总体设计奠定基础。针对产品主要功能而进行的功能原理设计这一步,在整个设计中是非常重要的一环。一个好的功能原理设计应既有创新构思,同时又能满足用户的需求。 因此,在培养学生的机械系统设计能力时,不仅要注重机构和结构设计的培养和训练,而且更应注重功能原理设计的培养和训练。由于功能原理设计有其自身的特点和工作内容,因此,本大作业将主要针对功能原理设计进行。 2棒料校直机功能原理设计目的 棒料校直是机械零件加工前的一道准备工序。若棒料弯曲,就要用大棒料才能加工出一个小零件,如图1所示,这种加工方式材料利用率不高,经济性差。故在加工零件前需将棒料校直。 图1 待校直的弯曲棒料

3 设计数据与要求 请根据以下设计数据,进行棒料校直机的功能原理设计。 1) 棒料材料:需校直的棒料材料为45钢 2) 工作环境及环保要求:室内工作,希望冲击振动小、噪声小; 3) 工作寿命:使用期限为10年,每年工作300天,每天工作16小时; 4) 设备保养维护要求:每半年作一次保养,大修期为3年。 5) 棒料校直机原始设计数据如表1所示。 表1 棒料校直机原始设计数据 4棒料校直机功能原理设计过程 功能原理方案设计的任务是:针对某一确定的功能要求,去寻求一些物理效应并借助某些作用原理来求得一些实现该功能目标的解法原理来;或者说,功能原理设计的主要工作内容是:构思能实现功能目标的新的解法原理。这一步设计工作的重点应放在尽可能多地提出创新构思上,从而使思维尽量“发散”,以力求提出较多的解法供比较和优选。此时,对构件的具体结构、材料和制造工艺等则不一定要有成熟的考虑,故只需用简图或示意图的形式 5 棒料校直机功能原理设计要求 1) 用黑箱法寻找总功能的转换关系,给出棒料校直机的黑箱图; 2) 对棒料校直机进行总功能分解,绘制“技术过程流程图”和“总功能分解图”; 3) 建立棒料校直机的“功能结构图” 4) 寻找原理解法和原理解组合。 6 设计参考资料 教材中第二章机械系统总体设计中“露天矿开采挖掘机的原理方案设计” 7 作业成绩及其与本门课程总成绩的关系 满分4分,记入100分的总课程成绩。 根据表1任选一组进行设计。

《verilog_数字系统设计课程》(第二版)思考题答案

Verilog数字系统设计教程思考题答案 绪论 1.什么是信号处理电路?它通常由哪两大部分组成? 信号处理电路是进行一些复杂的数字运算和数据处理,并且又有实时响应要求的电路。它通常有高速数据通道接口和高速算法电路两大部分组成。 2.为什么要设计专用的信号处理电路? 因为有的数字信号处理对时间的要求非常苛刻,以至于用高速的通用处理器也无法在规定的时间内完成必要的运算。通用微处理器芯片是为一般目的而设计的,运算的步骤必须通过程序编译后生成的机器码指令加载到存储器中,然后在微处理器芯片控制下,按时钟的节拍,逐条取出指令分析指令和执行指令,直到程序的结束。微处理器芯片中的内部总线和运算部件也是为通用目的而设计,即使是专为信号处理而设计的通用微处理器,因为它的通用性也不可能为某一特殊的算法来设计一系列的专用的运算电路而且其内部总线的宽度也不能随便的改变,只有通过改变程序,才能实现这个特殊的算法,因而其算法速度也受到限制所以要设计专用的信号处理电路。 3.什么是实时处理系统? 实时处理系统是具有实时响应的处理系统。 4.为什么要用硬件描述语言来设计复杂的算法逻辑电路? 因为现代复杂数字逻辑系统的设计都是借助于EDA工具完成的,无论电路系统的仿真和综合都需要掌握硬件描述语言。 5.能不能完全用C语言来代替硬件描述语言进行算法逻辑电路的设计? 不能,因为基础算法的描述和验证通常用C语言来做。如果要设计一个专用的电路来进行这种对速度有要求的实时数据处理,除了以上C语言外,还须编写硬件描述语言程序进行仿真以便从电路结构上保证算法能在规定的时间内完成,并能通过与前端和后端的设备接口正确无误地交换数据。 6.为什么在算法逻辑电路的设计中需要用C语言和硬件描述语言配合使用来提 高设计效率? 首先C语言很灵活,查错功能强,还可以通过PLI编写自己的系统任务,并直接与硬件仿真器结合使用。C语言是目前世界上应用最为广泛的一种编程语言,因而C程序的设计环境比Verilog HDL更完整,此外,C语言有可靠地编译环境,语法完备,缺陷缺少,应用于许多的领域。比较起来,Verilog语言只是针对硬件描述的,在别处使用并不方便。而用Verilog的仿真,综合,查错等大部分软件都是商业软件,与C语言相比缺乏长期大量的使用,可靠性较差,亦有很多缺陷。所以只有在C语言的配合使用下,Verilog才能更好地发挥作用。C 语言与Verilog HDL语言相辅相成,互相配合使用。这就是即利用C语言的完整性又要结合Verilog对硬件描述的精确性,来更快更好地设计出符合性能要求的

2011数字逻辑设计大作业题目

数字逻辑设计大作业题目 说明:以下题目任选一个,以小组形式合作完成,组内人数是2~3人,不能超过3人。 题目1:电子密码锁的设计 [设计要求] (1)设计一个开锁密码至少为4位数字(或更多)的密码锁。 (2)当开锁按扭开关(可设置8位或更多,其中只有4位有效,其余位为虚设)的输入代码等于所设密码时启动开锁控制电路,并且用绿 灯亮、红灯灭表示开锁状态。 (3)从第一个按扭触动后的5秒内若未能将锁打开,则电路自动复位并发出报警信号,同时用绿灯灭、红灯亮表示关锁状态。 (4)密码锁上带有数字时钟,当操作者开始按动按钮能进行倒计时显示。 注:附加功能根据本人能力自行添加(如:密码锁中的4位密码可以修改,等等) 题目2:乒乓球比赛模拟机的设计 乒乓球比赛模拟机用发光二极管(LED)模拟乒乓球运动轨迹,是由甲乙双方参赛,加上裁判的三人游戏(也可以不用裁判)。 [设计要求] (1)至少用8个LED排成直线,以中点为界,两边各代表参赛双方的位置,其中一个点亮的LED(乒乓球)依次从左到右,或从右到左移动,“球” 的移动速度可以调节。 (2)当球(被点亮的那只LED)移动到某方的最后一位时,参赛者应该果断按下自己的按扭使“球”转向,即表示启动球拍击中,若行动迟缓或超前,

表示未击中或违规,则对方得一分。 (3)设计甲乙双方自动记分电路,用数码管显示得分,每记满11分为一局。(4)甲乙双方各设一个发光二极管表示拥有发球权,每得5分自动交换发球权,拥有发球权的一方发球才能有效。 (5)能显示发球次数。 注:附加功能根据本人能力自行添加(如:一方得分,电路自动提示3秒,此期间发球无效,等铃声停止后方可比赛等等) 题目3:象棋快棋赛电子裁判计时器的设计 说明:象棋快棋赛规则是,红、黑双方对奕时间累计均为三分钟,超时判负。[设计要求] (1)甲乙双方的计时器为一个秒时钟,双方均用3位数码管显示,预定的初值均为三分钟,采用倒计时方式。通过按扭启动,由本方控制对方,比如甲方走完一步棋后必须按一次甲方的按键,该按键启动乙方倒计时。同理,乙方走完一步棋后必须按一次乙方的按键,该按键启动甲方倒计时。 (2)超时能发出报警判负。 (3)累计时间设置可以改变。 注:附加功能根据本人能力自行添加 题目4:出租车计费器的设计 汽车在行驶时,里程传感器将里程数转换成与之成正比的脉冲个数,然后由计数译码电路变成收费金额。每行驶1公里,里程传感器输出一个脉冲信号,即10个脉冲/公里。 [设计要求] (1)设计制作自动计费器,金额总数包括行车里程计费、等车时间计费和起步价三部分,金额用数码管显示。 (2)里程单价设2.1元/公里,等车单价为0.6元/10分钟,起步价设为5元(参考)

数字系统设计大作业

2014 ~ 2015学年第1 学期 《数字系统设计》 大作业 题目:4×4阵列键盘键信号检测电路设计专业:电子信息工程 班级: 姓名: 指导教师: 电气工程学院 2015 年12月

摘要 人类文明已进入到高度发达的信息化社会。信息化社会的发展离不开电子信息产品开发技术、产品品质的提高和进步。电子信息产品随着科学技术的进步,其电子器件和设计方法更新换代的速度日新月异。实现这种进步的主要原因就是电子设计技术和电子制造技术的发展,其核心就是电子设计自动化(EDA,Electronic Design Automation)技术,EDA技术的发展和推广应用又极大地推动了电子信息产业的发展。为保证电子系统设计的速度和质量,适应“第一时间推出产品”的设计要求,EDA技术正逐渐成为不可缺少的一项先进技术和重要工具。目前,在国内电子技术教学和产业界的技术推广中已形成“EDA热”,完全可以说,掌握EDA技术是电子信息类专业学生、工程技术人员所必备的基本能力和技能。 本设计主要利用VHDL硬件描述语言在EDA平台xilinx.ise.7.1i上设计一个4×4阵列键盘扫描电路,将行扫描信号输入阵列键盘,读取列信号的值,输出按键编码,从而判断出按键按下的位置。并且使用Modelsim软件进行模拟仿真,下载到EDA实验箱进行硬件验证。 关键词:EDA VHDL语言 4×4阵列键盘扫描

目录 《数字系统设计》 (1) 数字系统设计.............................................................................. 错误!未定义书签。摘要 (2) 关键词:EDA VHDL语言 4×4阵列键盘扫描 (2) 1、实验目的 (4) 2、实验要求 (4) 3、实验原理 (4) 4、总体框图 (5) 4.1.1方案一 (5) 4.1.2方案二 (5) 4.2设计思路 (6) 5、功能模块介绍 (8) 5.1键盘消抖模块 (8) 5.2键盘模块 (8) 5.3VHDL部分程序 (8) 6、实验结果 (10) 6.1综合电路图 (10) 6.2时序仿真 (11)

数字逻辑第一次大作业

数字逻辑第一次大作业

一.“七段数码管字形发生器”真值表(支持共阴极,1亮0灭) 输入变量输出变量数码管显 示 A B C D a b c d e f g 0000 1111110 0 000 1 0110000 1 0010 110110 1 2 001 1 111100 1 3 0100 011001 1 4 010 1 101101 1 5 0110 101111 1 6 011 1 1110000 7 1000 111111 1 8 100 1 111101 1 9 1010 111011 1 A 101 1 001111 1 B 1100 1001110 C 110 1 011110 1 D 1110 100111 1 E 111 1 100011 1 F 二.卡诺图化简: A B C D a 0000 1 000 1 0 0010 1 001 1 1 0100 0 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 1 110 1 0 1110 1 AB CD 00 01 11 10 00 1 0 1 1 01 0 1 0 1 11 1 1 1 0 10 1 1 1 1 Fa=B?D?+A?BD+A B?C?+A?C+BC+A D?

111 1 1 A B C D b 0000 1 000 1 1 0010 1 001 1 1 0100 1 010 1 0 0110 0 011 1 1 1000 1 100 1 1 1010 1 101 1 0 1100 0 110 1 1 1110 0 111 1 0 A B C D c 0000 1 000 1 1 0010 0 001 1 1 0100 1 010 1 1 0110 1 011 1 1 1000 1 100 1 1 1010 1 101 1 1 1100 0 110 1 1 1110 0 111 1 0 AB CD 00 01 11 10 00 1 1 0 1 01 1 0 1 1 11 1 1 0 0 10 1 0 0 1 Fb=B?D?+B?C?+A?C?D?+A?CD+A C?D AB CD 00 01 11 10 00 1 1 0 1 01 1 1 1 1 11 1 1 0 1 10 0 1 0 1 Fc=A?C?+A?D+A?B+A B?+C?D

机电一体化系统设计大作业

6011机电一体化系统设计基础大作业 责任教师高秋红 学校:北京一轻高级技术学校学号:111100140 姓名: 一、基础知识题(每题10分,共40分) 1.机电一体化系统的定义?机电一体化产品的分类? 答:机电一体化系统是由机械技术、传感器技术、接口技术、信号变换技术等多种技术进行有机地结合,并综合应用到实际中去的综合技术。 按发展水平分:功能附加型初级系统,功能代替型中级系统,机电融合型高级系统 按应用分:民用机电一体化产品,办公机电一体化产品和产业机电一体化产品 2. 机电一体化系统的基本组成要素?试分析试说明图中的各个部分分别属于机电一体化系统的哪一基本结构要素。 答:按照机电一体化系统的基本结构要素,图示数控机床的各个部分归类如下:(1)控制及信息处理单元:键盘、计算机、显示 (2)测试传感部分:光电编码器、信号处理 (3)能源:电源 (4)驱动部分:功放、电机 (5)执行机构:联轴器、齿轮减速器、丝杠螺母机构、工作台

3. 为什么采用机电一体化技术可以提高系统的精度? 机电一体化技术使机械传动部分减少,因而使机械磨损,配合间隙及受力变形等所引起的误差大大减少,同时由于采用电子技术实现自动检测,控制,补偿和校正因各种干扰因素造成的误差,从而提高精度。 4.简述机电一体化产品设计的工程路线(主要步骤) 机电一体化产品设计的工程路线(主要步骤):拟定产品开发目标和技术规范;收集资料,市场分析,可行性分析和技术经济性分析;总体方案设计;总体方案的评审和评价;详细设计;详细设计方案的评审和评价;试制样机;样机实验,测试;技术评价与审定;小批量生产;试销;正常生产;销售。 二、综合分析设计题(60分) 1.已知电机驱动的三自由度位置控制系统,单个自由度的驱动系统如图所示。要求: (1)说明单自由度驱动系统的两种测量方案;(20分) 要求给出传感器的类型及具体安装位置。 (2)确定整个系统的控制方案、画出控制系统原理图。(40分) 要求写出两种控制方案,方案一使用工业PC机完成系统的控制和方案二使用单片机完成系统的控制。 解:依题意有两种测量方案 1)高速端测量方案: 传感器安装在电机的尾部,通过测量电机的转角实现工作台位移的间接测量。可选用光电编码器式传感器或者磁电式编码器。

《数字逻辑电路》期末大作业实验报告

大连外国语大学软件学院 1数字逻辑电路概述 数字逻辑是数字电路逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计。电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为组合逻辑电路和时序逻辑电路。组合逻辑电路是由与门、或门和非门等门电路组合形成的逻辑电路;时序逻辑电路是由触发器和门电路组成的具有记忆能力的逻辑电路。有了组合逻辑电路和时序逻辑电路,再进行合理的设计和安排,就可以表示和实现布尔代数的基本运算。 数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 (阐述数字逻辑的现状、目的、意义、功能、方法及作用)2第一种数字逻辑电路 方法原理及功能 数据选择器又称为多路开关,是一种重要的组合逻辑器件,它可以实现从多路数据中选择任何一路数据输出,选择的控制由专门的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 1、与非门实现二选一数据选择器: 用一种74SL153及门电路设计实现一位全加器,输入用三个单刀双掷开关分别代表A、B、C,输出用两个指示灯分别代表L1、L1。 设计过程与结果(描述方法的操作过程和结果,配截图详细介绍) 在元件库中单击TTL,再单击74LS系列,选中74LS153D。

仿真结果实际结果 L 1 亮单独打开开关A,B,C时; L1灯泡亮 L 2 亮任意打开两个开关; 灯泡L2亮

L 1 和 L 2 都 亮 同时打开开关A,B,C时; 灯泡L1,L2同时亮。 心得体会 经过许多次的失败,在不断尝试中选择一个适合的方式去解决问题,加强对电路的 理解。通过该实验可以培养我们的动手能力和对数字电路的理解。经检验,符合真值表, 达到数据选择的作用。74ls153为双四选一数据选择器,几多一个非门和或门可以组成 数据比较器。能更好的掌握相关芯片的知识,了解其用途。 失败电路一: 失败电路二:

数字系统设计

东南大学自动化学院 《数字系统课程设计》 专业综合设计报告 姓名:_________________________ 学号: 专业:________________________ 实验室: 组别:______________________同组人员: 设计时间:年月日 评定成绩: _____________________ 审阅教师:

一.课程设计的目的与要求 二.原理设计 三.架构设计 四.方案实现与测试 五.分析与总结

专业综合设计的目的与要求(含设计指标) 主干道与乡村公路十字交叉路口在现代化的农村星罗棋布,为确保车辆安全、迅速地通过, 在交叉路口的每个入口处设置了红、绿、黄三色信号灯。红灯禁止通行;绿灯允许通行;黄 灯亮则给行驶中的车辆有时间行驶到禁行线之外。 主干道和乡村公路都安装了传感器, 检测 车辆通行情况,用于主干道的优先权控制。 设计任务与要求 (1)当乡村公路无车时,始终保持乡村公路红灯亮,主干道绿灯亮。 (2)当乡村公路有车时,而主干道通车时间已经超过它的最短通车时间时,禁止主干道通 行,让乡村公路通行。主干道最短通车时间为 25s 。 (3)当乡村公路和主干道都有车时,按主干道通车 25s ,乡村公路通车 16s 交替进行。 ( 4)不论主干道情况如何,乡村公路通车最长时间为 16s 。 (5)在每次由绿灯亮变成红灯亮的转换过程中间,要亮 5s 时间的黄灯作为过渡。 (6)用开关代替传感器作为检测车辆是否到来的信号。用红、绿、黄三种颜色的发光二极 管作交通灯。 要求显示时间,倒计时。 . 原理设计(或基本原理) HDL 语言,用ONEHOTI 犬态机编码表示交通灯控制器的四个状态(状态 0010,状态三: 0100,状态四: 1000): 设置两个外部控制条件:重置( set );乡村干道是否有车( c —— c=1 表示无车; c=0 表示有 车) 设置一个内部控制条件: 时间是否计满 ( state —— state=0 表示计数完成; state=1 表示计 数没有完成) 本设计采用 Verilog : 0001,状态二: 主干道红灯,显示 5 秒;乡村干道黄灯,显示 5 秒——( 0001) 主干道红灯,显示 21 秒;乡村干道绿灯,显示 16 秒——( 0010) 主干道黄灯,显示 5 秒;乡村干道红灯,显示 5 秒——( 0100) 主干道绿灯,显示 25 秒;乡村干道红灯,显示 30 秒——( 1000)

数字逻辑设计及应用 本科3 答案82870

. . 电子科技大学网络教育考卷(C 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 数字逻辑设计及应用(本科) 教师签名_____ 大题号 一 二 三 四 五 六 七 八 九 十 合 计 得 分 一、填空题(每空1分,共20分) 1、10111012= 135 8= 5D 16= 1110011 格雷码 2、FF 16= 255 10= 001001010101 8421BCD = 010********* 余3码 3、已知某数的反码是1010101,则该数的对应的原码是 1101010 ,补码是 1101011 ; 4、逻辑运算的三种基本运算是 与或非 ; 5、一个逻辑在正逻辑系统下,表达式为B A +,则该逻辑在负逻辑系统下,表达式为 AB ; 6、逻辑式A /(B+CD /)的反演式为 A+B /(C /+D) ; 7、已知∑= )3,1,0(),,(m C B A F ,则∑=m F / ( 2,4,5,6,7 ) M ∏=( 2,4,5,6,7 ) ; 8、请问图1-8逻辑为Y= (AB)/ ; 9、n 选1的数据选择器的地址输入的位数为 log 2n(向上取整) 位,多路输入端得个数为 n 个; 10、如果用一个JK 触发器实现D 触发器的功能,已知D 触发器的输入 信号为D ,则该JK 触发器的驱动为: J=D;K=D / ; 11、如果用一个D 触发器实现T 触发器的功能,已知T 触发器的输入信号为T ,则该D 触发器的驱动为: T ⊕Q ; 12、如果让一个JK 触发器只实现翻转功能,则该触发器的驱动为: J=K=1 ; 13、利用移位寄存器实现顺序序列信号1001110的产生,则该移位寄存器中触发器的个数为: 大于或等于3 个; 二、选择题(每题1分,共10分) 1、以下有关原码、反码和补码的描述正确的是: ①.二进制补码就是原码除符号位外取反加1; ②.补码即是就是反码的基础上再加1; ③.负数的原码、反码和补码相同; ④.正数的原码、反码和补码相同; 2、下列逻辑表达式中,与D BC C A AB F / / / 1++=不等的逻辑是: ①./ / / BC C A AB ++ ②./ ///D BC C A AB ++ ③./ /C A AB + ④.BD C A AB ++/ / 3、已知门电路的电平参数如下:,,,,V 3.0V V 0.3V V 25.0V V 2.3V L I IH OL OH ≤≥≤≥请问其低电平的噪声容限为: ①. 0.05V ②. 0.2V ③. 2.95V ④. 2.7V 4、下列逻辑中,与/ A Y =相同的逻辑是: ①.1A Y ⊕= ②.0A Y ⊕= ③.A A Y ⊕= ④./ )A A (Y ⊕= 5、有如下所示波形图,已知ABC 为输入变量,Y 为输出变量,我们可以得到该逻辑的函数式为: ①.AC AB Y += ②.C B A Y ++= ③.C B A Y ??= ④./ / / C B A Y ++= 6、在同步状态下,下面哪种时序逻辑器件的状态更新仅仅发生在时钟触发沿来临的瞬间,并且状态更新的依据也仅仅取决于当时的输入情况: ①.锁存器 ②.电平触发的触发器 ③.脉冲触发的触发器 ④.边沿触发的触发器器 7、或非门所构成的SR 触发器的输入为S 和R ,则其工作时的约束条件为: ①.1R S =+ ②.0R S =? ③.0R S / / =+ ④.R S = 8、要实现有效状态数为8的扭环计数器,则所需移位寄存器中的触发器个数为: ①.8 ②.4 ③.3 ④.2 9、下面的电路,属于组合逻辑的电路是: ①.串行数据检测器 ②.多路数据选择器 ③.顺序信号发生器 ④.脉冲序列发生器 10、下面哪些器件不能够实现串行序列发生器 ①.计数器和组合门电路 ②.数据选择器和组合门电路 ③.移位寄存器和组合门电路 ④.触发器和组合门电路 姓名__________________ 专业名称__________________ 班号________________学号__________________教学中心_________________ …………………… …… … … … … …密………………… …… … … … … ……封……………… …… … … …线… … … …… … … …………………… 图1-8 图2-5

机电一体化系统设计(期末大作业,含答案)

1 电子科技大学网络教育考卷(A 卷) (20 年至20 学年度第 学期) 考试时间 年 月 日(120分钟) 课程 机电一体化系统设计 教师签名 朱成华 一、名词解释(每题2分,共10分) 1. 传递函数; 答:于线性定常系统,在零初始条件下,系统输出量的拉氏变换与引起该 输出的输入量的拉氏变换之比,称为系统的传递函数 2. 开发性设计; 答:开发性设计是指在没有参照的情况下仅根据抽象的设计原理和要求设计出在质量和性能方面满足目的要求的产品。 3. PLC ; 答:PLC 是指可编程逻辑控制器。 4.SSR ; 答:SSR 是指固态继电器是一种带光电隔离的器的无触点开关。 5. D/A : 答:D/A 是把数字量变成模拟量的装置。 二、判断正误(每题1分,共10分) 1. 匹配Z 变换法适用于具有因式分解形式的传递函数。( √ ) 2.双线性变换法就是突斯汀法,是控制系统中常用的一种离散化方法。( × ) 3. 步进电机一般采用开环控制系统。( × ) 4.滚珠丝杆具有自锁能力。( × ) 5. 改变脉冲频率可以改变步进电机的转速。( √ ) 6.改变直流电机电枢电压可以改变其转速。( √ ) 7. 闭环控制系统是负反馈控制系统。( √ ) 8. 光电隔离电路主要由光电耦合器的光电转换器组成,入端为光敏三极管,出端为发光二极管。( × ) 9. 步进电动机的进给系统采用齿轮传动,不仅是为了求得所需的脉冲当量,还有满足结构要求和增大转矩的作用。( × ) 10. 大惯量直流电动机的转子惯量大,小惯量直流电动机的转子惯量小。( √ ) 三、填空(每题2分,共10分) 1. 机电一体化对机械系统的基本要求是( 高精度、低惯性、高稳定性 )。 2. 传感器一般是由(敏感元件、传感元件和转换电路)三部分组成。 3. I/O 接口的编址方式,通常有两种编址方式,一种是(与存储器统一编址)另一种是(独立编址)。 4. 直流伺服电动机按励磁方式可分为(永磁式)和(电磁式)两种。 5. 直线运动导轨的作用是(支持和导向的作用)。 四、选择题(单选或多选) (每题2分,共30分) 1.( B )是机电一体化的基础。 A. 计算机与信息处理技术 B.精密机械技术 C. 检测与传感技术 D. 自动控制技术 2. 机电产品艺术造型的三要素是( A 、C 、D )。 A. 功能 B. 色调 C. 艺术内容 D. 物质技术条件 3. 机电一体化对机械系统的基本要求中( D )要求是首要的。 A. 可靠性 B. 低惯性 C. 稳定性 D. 高精度 4. 机电一体化产品中的机械系统包括( D )三部分。 A. 传动机构、检测机构、导向支承机构 B.检测机构、导向支承机构、执行机构 C. 检测机构、传动机构、执行机构 D. 执行机构、导向支承机构、传动机构 5. 根据滚珠丝杠的用途不同,将滚珠丝杠副分为定位滚珠丝杠副和( A )。 A. 传动滚珠丝杠副 B. 单圆弧滚道滚珠丝杠副 C.双圆弧滚道滚珠丝杠副 D.内循环滚珠丝杠副 6. 导轨常用的材料有( A 、B 、 C 、D )。 A.铸铁 B.钢 C.有色金属 D. 塑料 7. 直线运动导轨的基本形状有( A 、B 、 C 、D )。 A. 三角形 B. 圆形 C.矩形 D. 燕尾形 8. 按控制方式不同,可将伺服系统分为:开环控制系统、闭环控制系统和( D )。 A. 电器伺服系统 B. 液压伺服系统 C. 位置控制系统 D. 半闭环控制系统 9. 直流伺服电机按定子磁场产生方式可分为( A )。 A. 永磁式和他励式 B. 永磁式和可变磁阻式 C. 他励式和混合式 D. 可变磁阻式和混合式 10.数字滤波方式中( A )能有效滤去由于偶然因素引起的波动。 A. 中值滤波 B. 算术平均滤波 C. 滑动平均滤波 D.低通滤波

电子科技大学数字逻辑设计及应用作业答案

答案+我名字 查看考卷——作业提交1 当前得分:15 分(总分:100 分),折合成百分制共 15 分。 返回 作业提交1 1. 逻辑函数 ,请问其反函数 。 () () () [参考答案:] 分值:5 得分: 分 系统自动批改于2019年9月19日 14点35分 2. 有关异或逻辑的描述不正确的是 。 () 异或逻辑的反函数是同或逻辑 () 异或逻辑的对偶逻辑是同或逻辑 () 一个逻辑变量和0异或得到的是其反函数 [参考答案:] 分值:5 得分: 分 系统自动批改于2019年9月19日 14点35分

3. 已知一个逻辑的最小项之和为F(, , )=∑m(0,4,7) ,以下哪个等式成 立。 () () () [参考答案:] 分值:5 得分:5 分 系统自动批改于2019年9月19日14点35 分 4. 下面所描述的逻辑函数表达式中,哪一种可以直接从真值表中得到,而 无需其他中间步骤。 () 标准形式 () 最简与或式 () 与非-与非形式 [参考答案:] 分值:5 得分:5 分 系统自动批改于2019年9月19日14点35 分 5. 下面有关最小项的描述正确的是。 () () () [参考答案:] 分值:5 得分:0 分 系统自动批改于2019年9月19日14点35 分 6. 下面有关逻辑函数的描述中,正确的是。

() 逻辑函数的最小项之和是唯一的 () 逻辑函数的最简与或表达式是唯一的 () 逻辑函数的与非-与非逻辑表达式是唯一的[参考答案:] 分值:5 得分:5 分 系统自动批改于2019年9月19日14点35 分 7. 请问或非逻辑的对偶关系是。 () 或非逻辑 () 与非逻辑 () 与或非逻辑 [参考答案:] 分值:5 得分:0 分 系统自动批改于2019年9月19日14点35 分 8. 逻辑函数,请问其最小项之和为。 () () () [参考答案:] 分值:5 得分:0 分 系统自动批改于2019年9月19日14点35 分 9. 逻辑函数Y(, , , )=∑m(0,2,4,6,9,13) + (1,3,5,7,11,15)的最简与 或式为() () +’’

相关文档
最新文档