《数字逻辑》(白中英)(第六版)习题解答教学提纲

《数字逻辑》(白中英)(第六版)习题解答教学提纲
《数字逻辑》(白中英)(第六版)习题解答教学提纲

《数字逻辑》(白中英)(第六版)习题解

《数字逻辑》(白中英)(第六版)

习题解答

第1章开关理论基础

1、将下列十进制数化为二进制数和八进制数:

十进制二进制八进制

49 110001 61

53 110101 65

127 1111111 177

635 1001111011 1173

7.493 111.011111100 7.374

79.43 1001111.0110110 117.33

2、将下列二进制数转换成十进制数和八进制数:

二进制十进制八进制

1010 10 12

111101 61 75

1011100 92 134

0.10011 0.59375 0.46

101111 47 57

01101 13 15

3、将下列十进制数转换成8421BCD码:

1997=0001 1001 1001 0111

65.312=0110 0101.0011 0001 0010

3.1416=0011.0001 0100 0001 0110

0.9475=0.1001 0100 0111 0101

4、一个电路有三个输入端A 、B 、C ,当其中有两个输入端为高电平时,输出X 为高电平,试列出真值表,并写出X 的逻辑表达式。 [解]: 先列出真值表,然后写出X 的逻辑表达式

C AB C B A BC A X ++=

5、求下列函数的值:

当A,B,C 为0,1,0时: BC B A +=1 ))((C B A C B A ++++=1 B C A B A )(+=1

当A,B,C 为1,1,0时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=1

当A,B,C 为1,0,1时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=0

6、用真值表证明恒等式 C B A C B A ⊕⊕=⊕⊕ 成立。 证明:

所以由真值表得证。

7、证明下列等式 (1)B A B A A +=+

证明:左边=B A A + =B A B B A ++)(

=B A AB B A ++

=B A AB AB B A +++ =B A A B B A )()(+++ =B A + =右边

(2)BC AB C AB C B A ABC +=++

证明:左边= C AB C B A ABC ++ = ABC C AB C B A ABC +++ =)()(C C AB B B AC +++ =AB AC + =右边

(3)E CD A E D C CD A C B A A ++=++++)( 证明:左边=E D C CD A C B A A )(++++ =A+CD+A B C +CD E =A+CD+CD E =A+CD+E =右边

(4) C B A C B A B A ++=C B C A B A ++ 证明:左边=C B A C B A B A ++ =C B A C AB C B A B A +++)( =C B C A B A ++=右边

8、用布尔代数简化下列逻辑函数

(1)B C CB C B A ABC A F ++++= B C CB C B A ABC A ++++=)( B C CB A ++= C B A ⊕+=

(2)C B A D A B A D C AB CD B A F ++++= )D A D C AB ()C B A B A CD B A (++++= D A B A +=

(3)C B ABCD D BC ABD D ABC F ++++= C B D BC ABD ABC +++= C B D B ABD ABC +++= )(C D AD AC B +++= )(D A C A B +++= D B C B AB ++=

(4)C AB C B BC A AC F +++= C AB C B )BC A AC (??+= )C B A )(C B )(BC AC (++++= )C B A )(BC ABC (+++= )BC ABC BC A (++= BC =

10、用卡诺图化简下列各式 (1)C AB C B BC A AC F +++=

C F =

说明:卡诺图中标有0的格子代表C B BC A AC F 1++=,1F 则是标有0

之外的其余格子。

(2)C B A D A B A D C AB CD B A F ++++=

D A B A F +=

(3)F(A,B,C,D)=∑m(0,1,2,5,6,7,8,9,13,14)

D BC D C A BC A D C C B F ++++=

(4)F(A,B,C,D)=∑m(0, 13,14,15)+∑φ(1,2,3,9,10,11)

AC AD B A F ++=

11、用与非门实现下列函数,并画出逻辑图。 (1)C B A C AB F += C A C A )B B (C A ==+= (2))D C )(B A (F ++= D C B A +++= D C B A += D C B A += D C B A ?=

12、画出F 1和F 2的波形图

B A B A B A F 1⊕=+=

C F F 12⊕=

A B C F 1

F 2

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

《数字逻辑》课程实验教学大纲

《数字逻辑》课程实验教学大纲 一、课程基本信息 1.课程代码:BCim8014 2.课程名称:数字逻辑 3.课程英文名称:Digital Logic 4.课程性质:专业必修课 5.课程适用层次:本科 6.课程使用对象:计算机科学与技术专业 7.总学时:48学时(其中实验12学时) 8.学分:3 9. 先修课程:大学计算机基础、电子电路基础 二、课程概述 《数字逻辑》课程是计算机科学与技术专业基础课程,是计算机组成与结构、微机原理等硬件类课程的先导课程,它对理解计算机的工作原理有十分重要的作用。本课程使学生掌握数字逻辑方面的基本理论、基本知识和基本技能,具有分析数字逻辑电路方面的基本方法以及设计电路的能力,为后续计算机硬件类课程打下基础,也为深入理解计算机的工作原理提供理论及实践基础。 本课程的基本内容: 介绍逻辑设计的理论基础和逻辑电路的分析和设计方法,重点讲述组合逻辑电路和同步时序逻辑电路的分析和设计。 本课程的教学要求: 要求学生掌握数字逻辑的基本概念、基本理论、基本方法,具备一定的对逻辑电路的分析、设计和调试的能力。要求学生能以逻辑代数为工具,熟练掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元进行逻辑分析和设计,并在了解电子设计自动化的基础上,基本掌握数字系统的设计过程。 本课程的先修课是大学计算机基础、电子电路基础。 三、实践教学安排 第三章组合逻辑 实验学时:6 项目1:基本门电路的逻辑功能测试 实验学时:3 实验目的与要求: 1.测试与门、或门、非门、与非门、或非门与异或门的逻辑功能 2.熟悉扩展板与主电路板的连接与使用 3.了解测试的方法与测试的原理 实验主要仪器、设备: 1.数字逻辑电路实验箱 2.数字逻辑电路实验箱扩展板 3.双踪示波器,数字万用表 4.相应74LS系列、或74HC系列芯片

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

数字逻辑(第六版 白中英)课后习题答案

第七章 A/D 转换与D/A 转换 1 ADC :模/数转换器analogue digital converter ; DAC :数/模转换器 digital analogue converter ; DSP :数字信号处理器 digital signal processor 。 2. 3. 4

答:二进制编码0011来自ADC 输出,它通过丢失台阶来指示。可能情况下,转换器的输出“3”被粘住在不活动的状态(低电平)。 5. 由电路图知,R 0是输入二进制吗最低位对应的权电阻,所以有 V V K K V K R R K R D V R R V K R R K R R K R R o f i i i REF f o 0625.4)212121(2 5105代入得: ,10,5其中,22,108/,204/,402/023333 03030201-=?+?+??ΩΩ-=Ω==Ω=??-=Ω==Ω==Ω==∑= 6. (1)8为D/A 转换器的最小输出电压增量,即是数字量00000001对应的模拟电压量,或数字量每增加一个单位,输出模拟电压的增加量。输入代码01001101对应的模拟电压为: Vo =0.02(26+23+22+20)=1.54 V (2)8位转换器的分辨率百分数为: %3922.0%100121 8 =?- (3)若要求D/A 转换器的精度小于0.25%,则其分辨率应小于0.5%,因此,这一8位D/A 转换器可满足系统的精度要求。 7. (1)仅最高位接通时,R 10提供的电流为 mA V I 11010103 10=Ω?= 由于最高位电阻的容差所造成的电流误差为: A mA μ5.0%)05.0(1±=±? (2)首先求最低位电阻的阻值 Ω=-?=M R R 12.51210101 仅最低位接通时,R1提供的电流为 A V I μ953.11012.5106 1=Ω?= 最低位造成的电流误差为: A A μμ009765.0%)5(953.1±=±? 对于权电阻网络的D/A 转换器,数字量的位数越多,高低位权电阻的阻值相差越大;相同容 差下,由于各电阻所在位的权值不同,所引入的误差相差也越大。

数字逻辑实验教案

滁州学院计算机与信息工程学院 实验课程教案 课程名称:数字电路分析与设计 授课教师:姚光顺 授课对象:11网工、计科 授课时间:20XX年2月-2012月7月 滁州学院计算机与信息工程系 20XX年2月 《数字逻辑》实验教学大纲

课程编号: 课程名称:数字逻辑 英文名称:Digital Logic 课程类型:专业基础课 课程属性:独立设课 总学时:16总学分:0.5 开设学期:2 适用专业:计算机科学与技术网络工程 先修课程:大学物理电路原理 一、实验课程简介 数字逻辑实验,是数字逻辑课程教学内容的延伸和加强。在电子产品广泛应用的前提下,对于每一个大学生,具备一定电工电子基本知识和应用能力是必不可少的。因此,数字逻辑实验教学是按在相关理论教学的基础上,根据教学实际情况所开设的重点技术基础实验课程。通过实验,可以加深学生对课程内容中重点、难点的理解,培养其动手能力。 二、实验教学目标与基本要求 本课程的作用与任务是:使学生进一步掌握数字逻辑电路的分析与设计的基本方法,了解数字逻辑物理器件的主要技术参数,以及物理设计中的制作、调试、故障诊断的基本技能。要求学生在实验原理指导下,熟悉和掌握常用中、大规模集成电路的功能和在实际中应用的方法,具备基本电路的设计能力。培养学生检查与排除电路故障、分析和处理实验结果、分析误差和撰写实验报告的能力,旨在培养学生综合运用知识能力、严谨细致的工作作风和一丝不苟的科学态度。 三、本实验课程的基本理论与实验技术知识 本实验课基于门电路、组合逻辑电路、触发器、时序逻辑电路、A/D和D/A转换的基本理论而设定。 四、实验方法、特点与基本要求 实验分为实验预习、实验操作、实验总结三个步骤: 1、实验预习 1) 明确实验目的,理解实验原理; 2) 了解实验环境; 3) 了解实验方法,拟定实验的操作步骤; 2、实验操作 1) 建立实验环境,进行实验操作,培养实践动手能力 2) 实验过程中认真观察实验现象,详细记录实验结果 3) 实验结束前,整理好实验设备,经指导教师验收方可退出实验室 3、实验总结 通过对实验记录的整理,以加深对所学理论知识的理解,不断总结、积累经验,从而提高动手能力。

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

《数字逻辑》(白中英)(第六版)习题解答

《数字逻辑》(白中英)(第六版) 习题解答 第1章开关理论基础 1、将下列十进制数化为二进制数和八进制数: 十进制二进制八进制 49 110001 61 53 110101 65 127 1111111 177 635 1001111011 1173 7.493 111.011111100 7.374 79.43 1001111.0110110 117.33 2、将下列二进制数转换成十进制数和八进制数: 二进制十进制八进制 1010 10 12 111101 61 75 1011100 92 134 0.10011 0.59375 0.46 101111 47 57 01101 13 15 3、将下列十进制数转换成8421BCD码: 1997=0001 1001 1001 0111 65.312=0110 0101.0011 0001 0010 3.1416=0011.0001 0100 0001 0110 0.9475=0.1001 0100 0111 0101 4、一个电路有三个输入端A、B、C,当其中有两个输入端为高电平时,输出X

为高电平,试列出真值表,并写出X 的逻辑表达式。 [解]: 先列出真值表,然后写出X 的逻辑表达式 C AB C B A BC A X ++= 5、求下列函数的值: 当A,B,C 为0,1,0时: BC B A +=1 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,1,0时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,0,1时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=0 6、用真值表证明恒等式 C B A C B A ⊕⊕=⊕⊕ 成立。 证明: 所以由真值表得证。

《数字逻辑电路》教学大纲

《数字逻辑电路》教学大纲 开课系:信息工程系 适用专业及学生层次:初中起点 学时:112课时 先修课:电工基础、电子电路 后续课:微机原理、现代移动通信、程控交换技术 推荐教材及参考教材:《数字逻辑电路》 编写人:XXX 一、说明 1、课程的性质和内容 本门课程是通讯专业通用教材。主要内容包括数字电路基础,逻辑门电路,组合逻辑电路,触发器,时序逻辑电路,脉冲信号的产生与整形,数模和模数转换,数字集成电路应用以及有关实验等。 2、课程的任务和要求 第一,以能力为本位,重视实践能力的培养,突出职业技术教育特色。 第二,吸收和借鉴各地教学改革的成功经验,专业课教材的编写采用了理论知识与技能训练一体化的模式。 第三,更新教材内容,使之具有时代特征。 第四,贯彻国家关于职业资格证书与学业证书并重,职业资格证书制度与国家就业制度相衔接的政策精神,力求教材内容涵盖有关国家职业标准的知识、技能要求,确实保证毕业生达到中级技能人才的培养目标。 3、教学中应注意的问题 第一,根据企业的需要,确定学生应具备的能力结构和知识结构。 第二,教学中应时刻充实新知识、新技术、新设备和新材料。 第三,注意理论与实际结合。

二、学时分配表

三、课程内容与教学要求 第一章数字电路基础 教学要求 (1)掌握数字电路的特点 (2)明确各进制间的转换规则 (3)掌握基本的逻辑运算 (4)熟悉基本逻辑公式和逻辑定理 (5)掌握逻辑函数化简方法 (6)熟悉逻辑函数的各种表示方法以及相互转换的方法 (7)掌握半导体开关特性 教学内容 (1)掌握模拟电路和数字电路的各自特点以及它们的区别 (2)明确二进制、八进制、十六进制和十进制的表示方法以及转换时的不同规则 (3)掌握与、或、非三个最基本逻辑运算的逻辑符号、真值表及逻辑功能。(4)熟悉掌握逻辑电路中的运算律和等式的三个规则,了解异或运算的公式(5)熟悉利用逻辑运算规则及各种定律化简逻辑函数——即公式化简法,了解什么是卡诺图,熟练掌握逻辑函数卡诺图化简法。 (6)熟悉逻辑函数的表达式、卡诺图、真值表、波形图、逻辑图的转换方法。(7)掌握半导体二级管的单向导电性,掌握三极管的工作要求,工作在饱和、放大和截止区域的条件要求。 教学建议: 本章是数字逻辑电路的基础,与今后的学习内容紧密联系,学生应熟练掌握。 第二章逻辑门电路 教学要求 (1)掌握分立元件门电路的基本组成 (2)熟悉TTL集成门电路的特点 (3)熟悉CMOS集成门电路的常用门 (4)明确门电路的应用 教学内容 (1)掌握与、或、非门的各自特点和功能,熟悉组合后的复合门电路的特点

《数字逻辑》期末考试A卷参考答案

《数字逻辑》期末考试 A 卷参考答案 、判断题:下面描述正确的打’/,错误的打‘X’(每小题1分,共10 分) 1、为了表示104个信息,需7位二进制编码[V ] 2、BCD码能表示0至15之间的任意整数[X ] 3、余3码是有权码[X ] 4、2421码是无权码[X ] 5、二值数字逻辑中变量只能取值 6、计算机主机与鼠标是并行通信 7、计算机主机与键盘是串行通信8、占空比等于脉冲宽度除于周期0和1,且表示数的大小[X ] [X ] [V ] [V ] 9、上升时间和下降时间越长,器件速度越慢[V ] 10、卡诺图可用来化简任意个变量的逻辑表达式[X ] 、写出图中电路的逻辑函数表达式。(每小题5分,共10分) 1、F=A B 2、F= AB CD 2分,共20分)

1、在图示电路中.能实现逻辑功能F = ATH 的电路是 A ° TTL 电路 (A) F = ABCD (B) F = AH ? CD - (C) F= A + B + C + D (D) F = A + B ? C + D (E) F= A BCD 4 . 己知F 二ABC + CD ■可以肯定使F = 0的情况是 _° (A) A=0, BC= 1; (B) B= 1 , C= 1 } (C) AB= 1, CD=Q. (D) BC= 1 , D= 1 5、逻辑函数A B+BCD+A C+ B C 可化简为A,B,C,D 。 (A) AB + AC + BC (B) AB + C (A4-B) (C) AB + CAB A — O ? =1 1 Q A |— I 1 F ?- & 1 Q A B L I l — (B) 悬0 ---- 空 。— A — & Bo — Co- & B A 3 ?满足如图所示电路的输岀函数F 的表达式为丄3 B 1 o — V OF

数字逻辑教学大纲

《数字逻辑》 教学大纲 哈尔滨师范大学 计算机科学与信息工程学院

《数字逻辑》 一、课程设置的有关说明 1.数字逻辑课程是计算机科学与技术专业重要的必修课。 2.数字逻辑是基于数字电路相关知识的计算机硬件基础课程,是计算机硬件课程体系的一个重要知识环节。 3.设置本课程的目的和要求:由于一方面数字逻辑是一门涉及面较宽的综合性学科,另一方面也是一门正在迅速发展前沿的学科,新的思想、新的理论以及新的方法不断涌现,还有一点值得注意的是数字逻辑在计算机及其相关领域得到广泛的应用。为此,本课在选材、内容组织等方面力求做到:科学性、新颖性、实用性,力图在阐明基本原理和方法的同时,也能反映某些最新的研究成果,使学生比较牢固地掌握本课程分支的基本理论知识及实际应用能力。 本门课程共70学时,其中理论课54学时,实验课16学时;总学分为3学分。 4.本门课程主要讲授数字逻辑的基本理论及设计原理和相关实践,全面介绍数字逻辑的基本概念、设计原理、工作原理、实际应用、技术开发和该技术的未来发展方向和趋势,通过学习该知识体系使学生基本掌握该知识体系得理论知识和该知识体系在计算机相关领域的实际应用,及该课程体系在计算机硬件知识体系的重要地位。并为将来独立的从事基于计算机硬件知识体系的研究与开发打下更坚实的基础。 二、具体教学内容 第一章基本知识(4学时) 1.教学目的和教学基本要求: 掌握数字量与模拟量的特点,数字电路的特点、应用;了解二进制的算术运算与逻辑运算的不同之处;掌握不同数制之间的相互转换;掌握带符号二进制数的代码表示;掌握几种常用的编码。 2.内容提要: 第一节概述

第二节数制及其转换 第三节带符号二进制数的代码表示 第四节几种常用的编码 3.复习思考题: (1)二、八、十六进制数的转换 (2)8421、2421、余三码的组成 (3)格雷码和二进制转换 第二章逻辑代数基础(12学时) 1.教学目的和教学基本要求: 掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式;掌握逻辑函数的三种表示方法(真值表法、逻辑式法、卡诺图法)及其相互之间的转换;掌握逻辑函数的公式化简法和卡诺图化简法;掌握最小项、最大项、约束项的概念及其在逻辑函数化简中的应用。 2.内容提要: 第一节逻辑代数的基本概念 第二节逻辑代数的基本定理和规则 第三节逻辑函数表达式的形式与变换 第四节逻辑函数化简 3.复习思考题: (1)利用逻辑代数基本公式对逻辑函数化简。 (2)化简逻辑函数为最小项之和形式。 (3)利用卡诺图法化简逻辑函数公式。 第三章集成门电路与触发器(12学时) 1.教学目的和教学基本要求: 了解门电路的定义及分类方法;掌握二极管、三极管的开关特性,及分立元件组成的与、或、非门的工作原理;了解TTL与非门的工作原理,静态输入、输出、电压传输特性及输入端负载特性,开关特性;了解其它TTL门(与非门、或非门、异或门、三态门,OC门)的工作原理及TTL门的改进系列;了解CMOS反相器的工作原理及静态特性;

《数字逻辑》(白中英)(第六版)习题解答教学提纲

《数字逻辑》(白中英)(第六版)习题解 答

《数字逻辑》(白中英)(第六版) 习题解答 第1章开关理论基础 1、将下列十进制数化为二进制数和八进制数: 十进制二进制八进制 49 110001 61 53 110101 65 127 1111111 177 635 1001111011 1173 7.493 111.011111100 7.374 79.43 1001111.0110110 117.33 2、将下列二进制数转换成十进制数和八进制数: 二进制十进制八进制 1010 10 12 111101 61 75 1011100 92 134 0.10011 0.59375 0.46 101111 47 57 01101 13 15 3、将下列十进制数转换成8421BCD码: 1997=0001 1001 1001 0111 65.312=0110 0101.0011 0001 0010 3.1416=0011.0001 0100 0001 0110

0.9475=0.1001 0100 0111 0101 4、一个电路有三个输入端A 、B 、C ,当其中有两个输入端为高电平时,输出X 为高电平,试列出真值表,并写出X 的逻辑表达式。 [解]: 先列出真值表,然后写出X 的逻辑表达式 C AB C B A BC A X ++= 5、求下列函数的值: 当A,B,C 为0,1,0时: BC B A +=1 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,1,0时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=1 当A,B,C 为1,0,1时: BC B A +=0 ))((C B A C B A ++++=1 B C A B A )(+=0 6、用真值表证明恒等式 C B A C B A ⊕⊕=⊕⊕ 成立。 证明:

数字逻辑与数字系统设计课程大纲

“数字逻辑与数字系统设计”教学大纲 课程编号:OE2121017 课程名称:数字逻辑与数字系统设计英文名称:Digital Logic and Digital System Design 学时:60 学分:4 课程类型:必修课程性质:专业基础课 适用专业:电子信息与通信工程(大类)开课学期:4 先修课程:高等数学、大学物理、电路分析与模拟电子线路 开课院系:电工电子教学基地及相关学院 一、课程的教学任务与目标 数字逻辑与数字系统设计是重要的学科基础课。该课程与配套的“数字逻辑与数字系统设计实验”课程紧密结合,以问题驱动、案例教学、强化实践和能力培养为导向,通过课程讲授、单元实验、综合设计项目大作业、设计报告撰写、研讨讲评等环节,实现知识能力矩阵中1.1.2.2、1.2.1.2以及2.5、2.6、3.6、4.1、4.2的能力要求。 要求学生掌握数字电路的基本概念、基本原理和基本方法,了解电子设计自动化(EDA:Electronic Design Automation)技术和工具。数字电路部分要求学生掌握数制及编码、逻辑代数及逻辑函数的知识;掌握组合逻辑电路的分析与设计方法,熟悉常用的中规模组合逻辑部件的功能及其应用;掌握同步时序逻辑电路的分析和设计方法,典型的中大规模时序逻辑部件。EDA设计技术部分,需要了解现代数字系统设计的方法与过程,学习硬件描述语言,了解高密度可编程逻辑器件的基本原理及开发过程,掌握EDA 设计工具,培养学生设计较大规模的数字电路系统的能力。 本课程教学特点和主要目的: (1)本课程概念性、实践性、工程性都很强,教学中应特别注重理论联系实际和工程应用背景。 (2)使学生掌握经典的数字逻辑电路的基本概念和设计方法; (3)掌握当今EDA工具设计数字电路的方法。 (4)本课将硬件描述语言(HDL)融合到各章中,并在软件平台上进行随堂仿真, 通

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

《数字逻辑》课程教学大纲

《数字逻辑》课程教学大纲 Digital Logic 课程编号:130301047 学时:48学分:3 适用对象:软件工程、软件工程卓越班、计算机科学与技术、网络工程、物联网工程、医学信息工程、数字媒体技术(理科) 先修课程:计算机基础;离散数学;大学物理;电路原理;模拟电子线路 一、课程的性质和任务 《数字逻辑》把数字电路和逻辑设计有机地联系起来,作为基础,较为深入地阐述了基本数字集成电路的工作原理和电气特性,着重讨论了逻辑电路的基本单元(门电路和触发器),也讨论了中、大规模集成电路及其应用,介绍了一些近年迅速发展起来的器件和电路,同时讨论了作为数字电路与逻辑设计数学基础的逻辑代数及其化简方法。作为重点,系统地讨论了组合逻辑电路、同步时序逻辑电路、异步时序逻辑电路的分析和设计方法;并介绍了采用大规模可编程逻辑器件的数字系统设计的新方法。通过本课程的学习,为学习后继课程打下良好基础,也为学生毕业后从事电子学、通信技术、自动控制、计算机应用等方面的科学研究和技术工作打下良好的基础。 二、教学目的与要求 《数字逻辑》是计算机科学与技术专业的一门专业技术基础课,是实践性很强的课程。通过本课程的教学,让学生了解数字逻辑电路的基本内容,掌握最基本的数字逻辑分析和设计方法。使学生获得电子技术方面的基本理论、基本知识和基本技能,培养学生分析问题和解决问题的能力,为以后进一步深入学习计算机组成原理、可编程逻辑等打好基础。要求学生熟悉数制、码制和逻辑代数,能以逻辑代数为工具,掌握对各类组合电路、同步时序电路、异步时序电路的基本逻辑单元分析和设计。 三、教学内容 第一章:数字逻辑基础 基本内容: 1.1概述 1.1.1模拟量与数字量 1.1.2数字电路的分类 1.1.3数字电路的特点 1.1.4脉冲与脉冲参数 1.2数制与码制 1.2.1数制 1.2.2数的表示方法 1.2.3数制间的转换 1.2.4常用编码 1.3逻辑代数基础 1.3.1逻辑代数中的三种基本运算 1.3.2逻辑函数及其表示方法 1.3.3逻辑代数基本定律及常用公式 1.3.4逻辑函数的公式法化简 1.3.5逻辑函数的卡诺图化简 1.3.6具有无关项的逻辑函数及其化简 教学基本要求:

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

数字逻辑(第六版 白中英)课后习题

第四章习题答案1.设计4个寄存器堆。 解: 寄存器组 2. 设计具有4个寄存器的队列。 解: 输入数据输出数据 3.设计具有4个寄存器的堆栈 解:可用具有左移、右移的移位寄存器构成堆栈。

栈顶 SR 1 SR 2 SR 3 输入数据 输出数据 压入弹出 4.SRAM 、DRAM 的区别 解:DRAM 表示动态随机存取存储器,其基本存储单元是一个晶体管和一个电容器,是一种以电荷形式进行存储的半导体存储器,充满电荷的电容器代表逻辑“1”,“空”的电容器代表逻辑“0”。数据存储在电容器中,电容存储的电荷一般是会慢慢泄漏的,因此内存需要不时地刷新。电容需要电流进行充电,而电流充电的过程也是需要一定时间的,一般是0.2-0.18微秒(由于内存工作环境所限制,不可能无限制的提高电流的强度),在这个充电的过程中内存是不能被访问的。DRAM 拥有更高的密度,常常用于PC 中的主存储器。 SRAM 是静态的,存储单元由4个晶体管和两个电阻器构成,只要供电它就会保持一个值,没有刷新周期,因此SRAM 比DRAM 要快。SRAM 常常用于高速缓冲存储器,因为它有更高的速率; 5. 为什么DRAM 采用行选通和列选通 解:DRAM 存储器读/写周期时,在行选通信号RAS 有效下输入行地址,在列选通信号CAS 有效下输入列地址。如果是读周期,此位组内容被读出;如果是写周期,将总线上数据写入此位组。由于DRAM 需要不断刷新,最常用的是“只有行地址有效”的方法,按照这种方法,刷新时,是在RAS 有效下输入刷新地址,存储体的列地址无效,一次选中存储体中的一行进行刷新。每当一个行地址信号RAS 有效选中某一行时,该行的所有存储体单元进行刷新。 6. 用ROM 实现二进制码到余3码转换 解: 真值表如下: 8421码 余三码 B 3B 2 B 1 G 3G 2G

数字逻辑心得体会(精选多篇)

数字逻辑心得体会(精选多篇) 第一篇:数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达 清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:

数字逻辑期末试题及答案

西 安 金 戈 技 术 学 校 2008年秋季学期期末考试数字逻辑电路试卷 注意事项 1. 考试时间:120 分钟。 2. 本卷依据2005年颁布的《技工类院校考试要求》命制。 3. 请首先按要求在试卷的规定位置填写您的姓名、准考证号和所在班级。 4. 请仔细阅读各种题目的回答要求,在规定的位置填写您的答案。 5. 不要在试卷上乱写乱画,不要在试卷上填写无关的内容。 一. 判断: 1.数字电路抗干扰能力强的原因是只传递1和0的数字信息.. ( ) 2.BCD 码就是8421BCD 码. ( ) 3.卡诺图是真值表示的另一种形式,具有唯一性. ( ) 4.二极管可组成与门电路,但不能组成或门电路. ( ) 5.三极管非门电路中的三极管主要工作在放大状态. ( ) 6.组合逻辑电路中有具有记忆功能的逻辑部件. ( ) 7.译码是编码的逆过程. ( ) 8.触发器也称为半导体存贮单元. ( ) 9.同步触发器存在空翻现象,而边沿型触发器和主从型触发器克服了空翻. 10.JK 触发器的JK 端相当KS 触发器的SR 端. ( ) 二.选择: 1.若编码器编码输出位数为4位,则最多可对( )个输入信号编码. A.4 B.6 C.16 D.32 2.16选1数据选择器其地址输入至少应有( )位. A.2 B.4 C.8 D.16 3.半加器有( ),全加器有( ) A.2个输入端,2个输出端 B.2个输入端,3个输出端 C.3个输入端,2个输出端 D.3个输入端,3个输出端 4.二极管可组成的电路( ) A.只能是与门 B.只能是或门 C.与门和或门都可以 D.非门 5.通常能实现“线与”功能的门电路是( ) A.OC 门 BTSL.门 C.TTL 与门 6.BCD 码是( ) A.二进制码 B.十进制码 C.二一十进制码 7.下列逻辑函数表示方法中,具有唯一性的是(多选)( ) A.真值表 B.逻辑表达式 C.逻辑图 D.卡诺图 8.下列选项中不属于卡诺图特点的是( ) … … ……… ……考……………生… ……… …答……………题……………不……………准……………超……………过……………此……………线…………………

白中英版 数字逻辑 第二章答案

第二章 组合逻辑 1. 分析图中所示的逻辑电路,写出表达式并进行化简 2. 分析下图所示逻辑电路,其中S3、S2、S1、S0为控制输入端,列出真值表,说明 F 与 A 、B 的关系。 F1= F2= F=F 1F 2= B F = AB + B = AB F = AB BABC CABC = AB + AC + BC + BC = AB + BC + BC 1 S B BS A ++3 2 S B A ABS +1 S B BS A ++

3. 分析下图所示逻辑电路,列出真值表,说明其逻辑功能。 解: F1== 真值表如下: 当B ≠C 时, F1=A 当B=C=1时, F1=A 当B=C=0时, F1=0 F2= 真值表如下: C B BC A C AB C B A +++ABC C B A C B A ++A B C F 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 001101 00AC BC AB C A C B B A ++=++

当A 、B 、C 三个变量中有两个及两个以上同时为“1”时,F2 = 1 。 4.图所示为数据总线上的一种判零电路,写出F 的逻辑表达式,说明该电路的逻辑功能。 解:F= 只有当变量A0~A15全为0时,F = 1;否则,F = 0。 因此,电路的功能是判断变量是否全部为逻辑“0”。 5. 分析下图所示逻辑电路,列出真值表,说明其逻辑功能 解: 真值表如下: 因此,这是一个四选一的选择器。 6. 下图所示为两种十进制数代码转换器,输入为余三码,输出为什么代码? 解: A B C F 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 1 000011 111514131211109876543210A A A A A A A A A A A A A A A A +++301201101001X A A X A A X A A X A A F +++=

数字逻辑课程教学大纲

数字逻辑课程教学大纲

《数字逻辑》教学大纲一、基本信息 课程代码:1252612 课程 性质: 专业限选课 课程名称:数字逻辑英文 名称: Digital Logic 学时/学分:32/2 开课 时间: 大二(下) 适用对象:计算机科学与技术、网络工程、软件工程、信息安全等专业 先修课 程: 大学物理、高级语言程序设计 大纲执笔人:傅均大纲 审核 人: 邢建国 修订时间:2011-12 当前 版本: 2012 二、课程描述 本课程为专业限定选修课,主要面向计算机科学与技术、网络工程、软件工程、信息安全等

专业本科低年级学生。主要目的是使学生掌握数字逻辑电路的基本概念和分析、设计方法,作为专业前导课程,为以后的专业核心课程《计算机组成结构》及其他硬件类课程《微机原理和接口技术》、《嵌入式系统开发技术》等的学习打下良好的基础。 本课程是为缺少电路原理、模拟电子技术等先修课程的计算机与信息学科偏软类专业开设,其要求和难度略低于电子信息学科偏硬类专业,通过该课程的学习使学生掌握数字逻辑电路的应用和发展及逻辑代数等基本知识,重点掌握组合逻辑电路和同步时序逻辑电路的分析和设计等基本方法,使学生具有一定的数字逻辑电路设计能力。另外,使学生了解可编程逻辑器件和现代数字系统设计方法,初步掌握运用EDA工具及硬件描述语言进行简单数字逻辑设计,紧跟市场和技术前沿。 三、教学目标 通过本课程的理论教学和相关实验训练,使学生具备如下能力: 1、掌握基本的逻辑代数知识,能够运用物

理知识理解二极管、三极管、集成逻辑门和可编程逻辑器件的基本原理。 2、能够运用逻辑代数方法表达、求解和优化实际数字电路问题, 3、能够分析小规模、中规模组合逻辑电路和时序逻辑电路,掌握各种逻辑门、基本触发器、中规模集成器件的功能及基本应用。 4、能够利用逻辑门、基本触发器、中规模集成器件和可编程逻辑器件设计一定功能的组合逻辑电路和时序逻辑电路,并进行优化。 5、能够应用专业EDA软件设计一定功能的数字系统,并能进行仿真和验证。 四、课程目标对毕业要求的支撑 毕业要求指标点课程目 标 6、具备扎实的数学、自然科学基础及基本的经管类知识,以及较好外的英语应用6.1 具有扎实的数学 和自然科学基础知识 教学目 标1

相关文档
最新文档