基于74LS192的30秒倒计时设计_____哈工大电工实验

基于74LS192的30秒倒计时设计_____哈工大电工实验
基于74LS192的30秒倒计时设计_____哈工大电工实验

姓名#### 班级######## 学号#######

实验日期2015.5.26 节次5—6 教师签字成绩

基于74LS192的30秒倒计时设计

1.实验目的

1)掌握555定时器的功能;

2)了解74LS192减法计数器的功能;

3)掌握RS触发器在消除开关抖动中的应用;

4)掌握调试电路的方法;

5)掌握电路设计的基本思想和流程。

2.总体设计方案

1)30进制计数器的设计

本实验采用74LS192芯片作为计数器,74LS192是同步的加减计数器,其具有清除和置数的功能。本实验选择两片74LS192作为分别作为30的十位和个位。本实验中将作为十位的计数器输入端置为0011而将个位的输入端置为0000。将两片74LS192的置数端连出来与开关B相连,开关B控制置数端与高电平还是低电平,从而实现当30倒计时到00时,通过手动操作开关B而可以重新开始倒计时,计数器的电路连接如下图所示:

图1:计数器电路设计

2)T=1s的时间脉冲的设计

本实验采用由555定时器组成的多谐振荡器来产生周期为1s的时间脉冲,从而为30秒倒计时提供了脉冲输入。这里取R1=51kΩ,R2=47 kΩ,C=10μF。

由于震荡周期T≈0.7(R1+2R2)C=0.7×(51kΩ+2×47 kΩ)×10μF=1.015s,显然这样的设计是符合实验要求的。

图2:555定时器组成的多谐振荡器

3)RS触发器控制电路设计

将RS触发器应用到开关电路中能很好的对30秒倒计时进行控制。当B开关打到右侧时,无论A开关打到哪侧,倒计时均未开始;当B开关打到左侧时,A开关打到右侧开始倒计时,A开关打到左侧暂停倒计时。

图3:RS开关控制电路

3.实验电路图

运用Multisim13绘制的实验电路图如下所示:

图4:实验电路图

4.仪器设备名称、型号和技术指标

实验箱1台

双踪示波器1台

双路直流稳压电源1台

数字万用表1台

电路板1块

电阻,电容,导线若干

芯片:

74LS192 2片

555 1片

74LS00 2片

74LS08 2片

5.仿真分析结果

对555定时器组成的多谐振荡器电路仿真输出时间脉冲的结果,测得脉冲周期T=1.015s,输出波形见下图:

图5:时间脉冲仿真结果

当开关B置于高电位A开关置于右侧时倒计时开始工作,下图为仿真结果:

图6:开始计数

当开关B置于高电位A开关置于左侧时倒计时暂停,下图为仿真结果:

图7:暂停计数

6.实验步骤及实验数据记录

按照电路图首先连接好555定时器组成的多谐振荡器的电路,R A采用定值电阻,R B采用电位器,将时间脉冲输出端连接至示波器的一个通道,对R B电阻进行微调,直到输出波形的频率为1Hz左右,此时该多谐振荡器产生的时间脉冲符合实验要求。记录此时脉冲的频率。

再将74LS192构成的30进制减法计数器电路连接好,注意到实验箱上的数码管的译码器为CD4511,所以74LS192的输出端口3,2,6,7分别接在CD4511的输入端口A,B,C,D上。

最后按照电路图将RS触发器的开关控制电路连接好,并将控制电路与脉冲电路连接好,二者用74LS08芯片构成与门连入电路,74LS08的管脚图与74LS00与非门的管脚类似,所以较为简单。

连接电路的操作均在断电情况下进行。电路连接无误后接通电源,控制开关B,A的开与关,观察数码管数字显示状态。

数据记录与实验现象:

脉冲频率f=0.982Hz;R1=51kΩ,R2=47 kΩ

开关控制电路的实验现象:当开关B置于低电平时无论A开关置于哪侧,倒计时均显示在30没有变化;当B接高电平时,A开关置于右侧开始倒计时,当将开关打至左侧时,倒计时暂停。

下图为在实验室连线的实际电路图:

图8:实验室连线调试

7.实验结论

通过本实验基本实现了由主要由74LS192构成的30秒倒计时电路的功能。掌握了74LS192级联的组成三十进制的方法。同时掌握了555定时器构成多谐振荡器产生时间脉冲的功能,掌握了调试电路的方法。对RS触发器开关电路有了更深刻的理解,并认识到其对电路的重要性。

8.实验中出现的问题及解决对策

问题1:首次将电路连接好以后,并没有出现实验结果,数码管显示并非有规律的数字递减。

解决对策:按照电路图对实验实际电路进行校核,并将555多谐振荡的时间脉冲输入换为实验箱自己提供的1HZ脉冲,发现问题并没有得到解决,最后在层层的排查中发现74LS192的有的输入端没有置零,再将应当接地的输入端接地后,出现了符合实验要求的实验结果。

问题2:555多谐振荡产生的时间脉冲并未符合实验要求。

解决对策:微调电位器的阻值,并配合使用示波器观察波形,并校验相关参数,再连入电路观察30秒倒计时能否正常工作。再多次对电路的调试之后出现了符合实验要求的结果。

9.本次实验的收获和体会、对电路实验室的意见或建议

收获和体会:此次实验我准备了很长时间,从图书馆借了有关书籍并认真阅读,并重点查阅了有关555定时器和74LS192芯片的相关资料。同时我还自学Multisim电路仿真软件的使用方法,并最终将电路仿真制作出来。但当亲自到实验室连电路时我发现电路仿真和实际情况并不是一回事,在实际电路中导线的连接是一个大问题,如果不加注意很可能连错,比如忘将置零端接地的状况就导致实验时间被白白拉长。不过这也正是设计性实验的有趣之处,能够很好的锻炼到自己实际操作能力,同时也培养了解决问题的能力,这为以后的工作和学习打下了良好的基础。

意见或建议:建议能再增加一些实验台,这样能够给学生更多做实验的时间;建议增加一些连电路的导线,特别是红色的短导线,短导线在连接电路时不容易搞混,有利于节省检查电路的时间。

10.参考文献

[1]杨世彦. 电工学(中册)电子技术[M]. 北京:机械工业出版社,2008,04:237-243.

[2]王宇红. 电工学实验教程[M]. 北京:机械工业出版社,2013,07:99-122.

[3]邓元庆,关宇,贾鹏,石会. 数字设计基础与应用[M]. 北京:清华大学出版社,2010,02:125-127.

单片机实验报告

PIC单片机原理与应用实验报告 学校: 学院: 班级: 姓名: 学号: 指导教师:

实验一I/O端口实验 一、实验目的 (1)掌握MPLAP IDE集成开发环境的基本操作。 (2)掌握单片机的I/O端口的设计方法。 (3)掌握在线调试器的使用方法。 (4)学会查阅相关数据手册。 二、实验仪器设备 (1)PC机一台; (2)MPLAP IDE开发软件一套; (3)PICkit3在线调试器一套; (4)APP009实验板一块; 三、实验要求 (1)设计发光LED灯闪烁程序,下载调试,验证功能。 (2)设计流水灯程序,或其他花样彩灯程序,下载调试,验证功能。 (3)设计按按键加1计数程序,下载调试,验证功能。 四、实验步骤 (1)连接在线调试器PICkit3、APP009实验板和计算机; (2)打开MPLAP IDE集成开发环境软件,点击Debugger>Select Tools>PICkit 3 选择调试工具; (3)点击Debugger>Settings,在Settings窗口中点击Power栏,选择由PICkit3向实验板供电; (4)完成实现发光LED灯闪烁实验; 程序代码: #include void delay(void); int main() { while(1) { TRISEbits.TRISE0 = 0; //RE0设置为输出(1输入,0输出); https://www.360docs.net/doc/2515943106.html,TE0 =1; //RE0=1输出高电平+5V,亮灯 delay(); //延时 https://www.360docs.net/doc/2515943106.html,TE0 =0; //RE0=0输出低电平0V,灭灯 delay(); //延时 } } void delay(void) { long int i; for (i=0;i<65000;i++); } 实验现象:将程序下载到实验板上,运行程序,LED闪烁,通过改变延时函数改变延时时间,进而可以改变LED闪烁的频率。

哈工大电工综合设计完整版

时间:2013春季学期班级:1108101学号:1110810104姓名:陈文华 11.驱动七段共阴极LED数码管的译码电路 一、设计要求: (1)输入变量A、B、C来自计数器,按顺序000~111计数。当ABC=000时,数码管全灭;以后要求依次显示H、O、P、E、F、U、L七个字母。 (2)输入变量A、B、C来自计数器,按顺序000~111计数。当ABC=000时,数码管全灭;以后要求依次显示1、1、0、8、1、0、1(或1108102、103、104)七个数字(根据自己的班级号)。 二、设计方案: 1.设计原理及设计方案选择 (1)a.本题目要求来自计数器的变量A、B、C,所以需要一计数器,使其八进制计数。A、B、C输出还不能直接接数码显示器,需要经过一译码器,将具有特定含义的二进制代码译成对应的输出信号,然后根据题目要求对译码器的输出进行逻辑运算,接到数码管显示。 b.根据学过的知识,对于计数模块,异步集成计数器74LS90和同步集成计数器74LS161都能实现要求,本设计采用的是异步集成计数器74LS90。通过接线方式的处理就可以实现八进制计数。 c.对于译码模块,采用的是3线—8线译码器74LS138。将计数器的ABC(D在本次设计中不需要接到输入)输出接到译码器的输入,经过译码器译成对应的输出信号,这样就可以对能实现要求的信号进行逻辑运算了。 为了实现设计要求,需根据要显示的内容和输出信号来进行逻辑运算,如下: 译码输出: C B A Y0Y1Y2Y3Y4Y5Y6Y7 00001111111 00110111111 010******** 01111101111 10011110111 10111111011 11011111101 11111111110 要显示的内容: a.显示H、O、P、E、F、U、L七个字母: a b c d e f g显示字形 0000000数码管全灭 0110111H 1111110O 1100111P

哈尔滨工业大学材料力学期末考试试题(A卷)

哈工大2002年春季学期 一、单选或多选题(每小题3分,共8小题24 分) 1. 图中应力圆a 、b 、c 表示的应力状态分别为 A 二向应力状态、纯剪切应力状态、三向应力状态; B 单向拉应力状态、单向压应力状态、三向应力状态; C 单向压应力状态、纯剪切应力状态、单向拉应力状态; D 单向拉应力状态、单向压应力状态、纯剪切应力状态。 正确答案是 2.一点的应力状态如右图所示,则其主应力1σ、2σ、 3σ分别为 A 30MPa 、100 MPa 、50 MPa B 50 MPa 、30MPa 、 -50MPa C 50 MPa 、0、-50MPa D -50 MPa 、30MPa 、50MPa 正确答案是 3.下面有关强度理论知识的几个论述,正确的是 。 A 需模拟实际应力状态逐一进行试验,确定极限应力; B 无需进行试验,只需关于材料破坏原因的假说; C 需要进行某些简单试验,无需关于材料破坏原因的假说; D 假设材料破坏的共同原因。同时,需要简单试验结果。

4.对于图示的应力状态,若测出x 、y 方向的线应变x ε、 y ε,可以确定的材料弹性常有: A 弹性模量E 、横向变形系数ν; B 弹性模量E 、剪切弹性模量G ; C 剪切弹性模量G 、横向变形系数ν; D 弹性模量 E 、横向变形系数ν、剪切弹性模量G 。 正确答案是 5.关于斜弯曲变形的下述说法,正确的是 。 A 是在两个相互垂直平面内平面弯曲的组合变形; B 中性轴过横截面的形心; C 挠曲线在载荷作用面内; D 挠曲线不在载荷作用面内。 6.对莫尔积分 dx EI x M x M l ?=?)()(的下述讨论,正确的是 。 A 只适用于弯曲变形; B 等式两端具有不相同的量纲; C 对于基本变形、组合变形均适用; D 只适用于直杆。 7.压杆临界力的大小, A 与压杆所承受的轴向压力大小有关; B 与压杆的柔度大小有关; C 与压杆所承受的轴向压力大小无关; D 与压杆的柔度大小无关。 正确答案是 8. 长为l 、横截面面积为A 的匀质等截面杆,两端分别受1F 和2F 力作用(1F <2F ) ,杆内 应力沿杆长的变化关系(不计摩擦)是 。 A x l A F F d 212+= σ; B x l A F F d 212 -=σ; C A F F d 12 -=σ; D A F F d 12 +=σ

哈工大电子技术实验四人无弃权表决电路(高分版)

姓名XXX 班级1108301 学号xx 实验日期节次 9-11 教师签字成绩 四人无弃权表决电路 1.实验目的 1)掌握74LS20的逻辑功能和使用方法; 2)通过实验,进一步熟悉组合逻辑电路的分析与设计方法。 2.总体设计方案或技术路线 设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),用74LS20来实现。 1)根据任务的要求,设计电路; 2)用代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路; 4)最后,用实验来验证设计的正确性。 3.实验电路图 1)ABCD输入端,接数据开关;Z输出端接电平指示器; 2)改变ABCD的组态,记录Z的变化,验证逻辑函数的功能及设计的正确性。 4. 仪器设备名称、型号

1)实验箱 1台2)双踪示波器 1台3)双路直流稳压电源 1台4)数字万用表 1只5)74LS20 3片5.理论分析或仿真分析结果 74LS20管脚图: 逻辑关系式: C AB D Z=ABC+BCD+ACD+ABD=AB BCDACD 逻辑图:

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表: A B C D F 00000 00010 00100 00110

7.实验结论 由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。

8.实验中出现的问题及解决对策 实验过程中由于有五个与门,而每个74LS20可实现两个与门,故线路连起来相当复杂,容易混淆,故在连接电路时安排好位置,标记好引脚和接头。 9.本次实验的收获和体会、对电路实验室的意见或建议 此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。 这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。 10.参考文献 [1]电工学实验教程/王宇红主编.——北京:机械工业出版社,(重印)

哈工大单片机实验报告

软件实验 在软件实验部分,通过实验程序的调试,使学生熟悉MCS-51的指令系统,了解程序设计过程,掌握汇编语言设计方法以及如何使用实验系统提供的调试手段来排除程序错误。 实验一清零程序 一、实验目的 掌握汇编语言设计和调试方法,熟悉键盘操作。 二、实验内容 把2000~20FFh的内容清零。 三、程序框图 四、实验过程 (1)实验中定义R0为循环次数,利用定义了初值的数据指针DPTR不断加1指向需要被清零的外部数据存储器单元。 (2)再利用MOVX语句,将外部存储器指定内容清零。 (3)用CJNE比较语句判断循环是否结束。 五、实验结果及分析

问题回答:清零前2000H~20FFH中为内存里的随机数,清零后全变为0。 六、实验源程序 ;清零程序 ORG 0000H MOV DPTR,#2000H MOV R0,#0FFH ORG 0660H MAIN: MOV A,#00H MOVX @DPTR,A INC DPTR DJNZ R0,MAIN END 实验二拆字程序 一、实验目的 掌握汇编语言设计和调试方法。 二、实验内容 把2000h的内容拆开,高位送2001h低位,低位送2002h低位,2001h、2002h高位清零,一般本程序用于把数据送显示缓冲区时用。 三、程序框图 四、实验过程 (1)定义数据指针DPTR为2000H,将其中内容送入累加器A中,利用高低四位交换语句SWAP可将高四位移至低四位,再用语句ANL与0FH进行与操作取出高四位送入2001H低位 (2)再次让数据指针DPTR为2000H,将其中内容送入累加器A中,直接与0FH相与取出低四位送入2002H低位。 五、实验结果及分析

哈工大电工设计报告参考答案 2

2012秋季学期《电工技术I》大作业 (1108101~104) 班级: 1108103 学号: 姓名: 成绩:

继电接触器和可编程控制器综合设计题目 有一运料小车在A、B两地来回运行,其中A地为装料处,设有限位开关ST1,每次装料时间为30s;B地为卸料处,设有限位开关ST2,每次卸料时间为20s。 小车运行控制分手控操作和自控操作。 控制要求: (1)手动操作:能手动控制小车向A地运行或向B地运行。 (2)自控操作:当小车启动时,有一物料检测传感器检测小车料箱是否有料,如果有料,该传感器的常开触点闭合,小车自动向B地运行;如果无料,该传感器的常闭触点闭合,小车自动向A地运行。小车到达B地限位开关ST2处停车20s卸料,然后自动驶向A地;小车到达A地限位开关ST1处停车30s装料,然后再自动返回B地卸料。如此循环往复。 (3)停车控制:小车在自动往返运行过程中,均可用手动开关令其停车。再次启动后,小车重复(2)中内容。 设计要求: (1)设计控制该小车运行的继电接触器控制电路(包括主电路和控制电路); (2)设计控制该小车运行的PLC控制梯形图程序并画出外部接线图(注意进行I/O分配)。 (3)写出综合设计报告。 限位开关限位开关

PLC控制梯形图如下:

I/O分配如下: 1、手动操作 无论小车是否运行,只要按下SB4,KM1将会通电,其常闭触点断开,常开触点闭合,如果此前KM2处于通电状态,这个时候,KM2也将会断电,其常闭触点也会闭合。从而KM1实现自锁,并向A点运行,直至按下SB1或触到行程开关ST1。此后如果不按下SB4或SB5,小车会处于自动运行状态。 2、自动操作 如果小车中有料,则KM闭合,线圈KM0通电,则其常闭触点断开、常开触点闭合,KM2通电,并实现自锁与互锁。向B点运行。如果小车中无料,则KM 断开,线圈KM0通电,则其常闭触点断开、常开触点闭合,KM1通电,并实现自锁与互锁。向A点运行。启动时,如果小车先向A点运行,到达A点后行程开关ST1的常开触点闭合,常闭触点断开。KM1断电的同时,KT1开始计时。30S后,常开延时闭合开关ST1闭合,同时小车中因为有料而KM0通电,小车开始往B点运行,并实现自锁与互锁。到达B点后,使行程开关ST2的常闭触点断开,常开触点闭合,小车停止运行,KT2开始计时。20S后,常开延时闭合开关ST2闭合,同时小车中因为无料而K断电,小车开始往A点运行,并实现自锁与互锁。从此在A、B间往复运行,除非对其手动控制或按下SB0。 3、停车控制 按下SB0即可实现。

(完整版)哈工大matlab期末考试题试题及答案(95分)分解,推荐文档

建议收藏下载本文,以便随时学习! 春季学期MATLAB期末作业 学院:机电工程学院 专业:机械制造设计及其自动化 学号: 班号: 姓名: 我去人也就有人!为UR扼腕入站内信不存在向你偶同意调剖沙

2013年春季学期 MATLAB 课程考查题 姓名: 学号: 学院: 机电学院 专业: 机械制造 一、 必答题:1.matlab 常见的数据类型有哪些?各有什么特点? 常量:具体不变的数字 变量:会根据已知条件变化的数字 字符串:由单引号括起来的简单文本 复数:含有复数的数据 2.MATLAB 中有几种帮助的途径? (1)帮助浏览器:选择view 菜单中的Help 菜单项或选择Help 菜单中的 MATLAB Help 菜单项可以打开帮助浏览器; (2)help 命令:在命令窗口键入“help” 命令可以列出帮助主题,键入 “help 函数名”可以得到指定函数的在线帮助信息; (3)lookfor 命令:在命令窗口键入“lookfor 关键词”可以搜索出一系列 与给定关键词相关的命令和函数 (4)模糊查询:输入命令的前几个字母,然后按Tab 键,就可以列出所有以 这几个字母开始的命令和函数。 注意:lookfor 和模糊查询查到的不是详细信息,通常还需要在确定了具体 函数名称后用help 命令显示详细信息。 3.Matlab 常见的哪三种程序控制结构及包括的相应的语句? 1.顺序结构:数据输入A=input(提示信息,选项) 数据输出disp(X) 数据输出fprintf(fid,format,variables) 暂停pause 或 pause(n) 2.选择结构: If 语句: if expression (条件) statements1(语句组1) else statements2(语句组2)建议收藏下载本文,以便随时学习!我去人也就有人!为UR扼腕入站内信不存在向你偶同意调剖沙

哈工大电路自主设计实验二端口网络参数的测定

二端口网络参数的测定 一、实验目的 1.加深理解双口网络的基本理论。 2.学习双口网络Y 参数、Z 参数及传输参数的测试方法。 3.验证二端口网络级联后的传输参数与原二端口网络传输参数的关系。 二、原理说明 1.如图2-12-1所示的无源线性双口网络,其两端口的电压、电流四个变量之间关系,可用多种形式的参数方程来描述。 图2-12-1 (1)若用Y 参数方程来描述,则为 ()()()(),即输入端口短路时令,即输入端口短路时令,即输出端口短路时令,即输出端口短路时令其中0I 0I 0I 0I 12 2 2212 1 1221 2 2121 1 1122212122121111== ======+=+=U U Y U U Y U U Y U U Y U Y U Y I U Y U Y I 由上可知,只要在双口网络的输入端口加上电压,令输出端口短路,根据上面的前两个公式即可求得输入端口处的输入导纳Y 11和输出端口与输入端口之间的转移导纳Y 21。 同理,只要在双口网络的输出端口加上电压,令输入端口短路,根据上面的后两个公式即可求得输出端口处的输入导纳Y 22和输入端口与输出端口之间的转移导纳Y 12。 (2)若用Z 参数方程来描述,则为

()()()(),即输入端口开路时令,即输入端口开路时令,即输出端口开路时令,即输出端口开路时 令其中 0U Z 0U Z 0U Z 0U 12 2 2212 1 1221 2 212111122212122121111== ======+=+=I I I I I I I I Z I Z I Z U I Z I Z U 由上可知,只要在双口网络的输入端口加上电流源,令输出端口开路,根据上面的前两个公式即可求得输出端口开路时输入端口处的输入阻抗Z 11和输出端口与输入端口之间的开路转移阻抗Z 21。 同理,只要在双口网络的输出端口加上电流源,令输入端口开路,根据上面的后两个公式即可求得输入端口开路时输出端口处的输入阻抗Z 22和输入端口与输出端口之间的开路转移阻抗Z 12。 (3)若用传输参数(A 、T )方程来描述,则为 ()()()(),即输出端口短路时令,即输出端口开路时令,即输出端口短路时令,即输出端口开路时令其中0I D 0I C 0U B 0U A 221s 220 10 221s 220 10 221221=-= ===-===-=-=U I I U U I I U DI CU I BI AU U s s 由上可知,只要在双口网络的输入端口加上电压,令输出端口开路或短路,在两个端口同时测量电压和电流,即可求出传输参数A 、B 、C 、D ,这种方法称为同时测量法。 2.测量一条远距离传输线构成的双口网络,采用同时测量法就很不方便,这时可采用分别测量法,即先在输入端口加电压,而将输出端口开路或短路,在输入端口测量其电压和电流,由传输方程得 () () ,即输出端口短路时令,即输出端口开路时令00111101010======2s s s 2U D B I U R I C A I U R 然后在输出端口加电压,而将输入端口开路或短路,在输出端口测量其电压和电流,由

单片机实验报告

单片机实验报告 学院:姓名:学号:指导老师:

目录 第一章实验内容、目的及要求 (2) 一、内容 (2) 二、目的及要求 (3) 第二章实验 (3) 实验一数字量输入输出实验 (3) 实验二定时器/计数器实验 (4) 实验三A/D、D/A转换实验 (11) 实验四串行通信设计 (20) 第三章实验体会 (28)

第一章实验内容、目的及要求 一、内容 实验一数字量输入输出实验 阅读、验证C语言程序功能。使用汇编语言编程,完成实验指导书之“3.1 数字量输入输出实验”基本实验项目。 实验二定时器/计数器实验 阅读、验证C语言程序功能。使用汇编语言编程,完成实验指导书之“3.3 定时/计数器实验”基本实验项目。 提高部分:定时器控制LED灯 由单片机内部定时器1,按方式1工作,即作为16位定时器使用每0.05秒钟T1溢出中断一次。P1口的P1.0-P1.7分别接八个发光二极管。编写程序模拟时序控制装置。开机后第一秒钟L1,L3亮,第二秒钟L2,L4亮,第三秒钟L5,L7亮,第四秒钟L6,L8亮,第五秒钟L1,L3,L5,L7亮,第六秒钟L2,L4,L6,L8亮,第七秒钟八个LED灯全亮,第八秒钟全灭,以后又从头开始,L1,L3亮,然后L2,L4亮……一直循环下去。 实验三A/D、D/A转换实验 阅读、验证C语言程序功能。使用汇编语言编程,完成实验指导书之“4.3 A/D转换实验”项目(P64)和“4.4 D/A转换实验”项目。 提高部分:(要求:Proteus环境下完成) 小键盘给定(并显示工作状态),选择信号源输出波形类型(D/A 转换方式),经过A/D采样后,将采样数据用LED灯,显示当前模拟信号值大小及变化状态。 实验四串行通讯实验 阅读、调试C语言程序功能。使用汇编语言编程,完成实验指导书之“3.7 串口通讯实验”项目。(要求:实验仪器上完成)提高部分:(要求:Proteus环境下完成) 利用单片机实验系统,实现与PC机通讯。功能要求:将从实验系统键盘上键入的数字,字母显示到PC机显示器上,将PC机键盘输入的字符(0-F)显示到单片机实验系统的数码管上。

哈工大自动控制原理 大作业

自动控制原理 大作业 (设计任务书) 姓名: 院系: 班级: 学号: 5. 参考图5 所示的系统。试设计一个滞后-超前校正装置,使得稳态速度误差常数为20 秒-1,相位裕度为60

度,幅值裕度不小于8 分贝。利用MATLAB 画出 已校正系统的单位阶跃和单位斜坡响应曲线。 + 一.人工设计过程 1.计算数据确定校正装置传递函数 为满足设计要求,这里将超前滞后装置的形式选为 ) 1)(() 1)(1()(2 12 1T s T s T s T s K s G c c ββ++++= 于是,校正后系统的开环传递函数为)()(s G s G c 。这样就有 )5)(1()(lim )()(lim 00++==→→s s s K s sG s G s sG K c c s c s v 205 ==c K 所以 100=c K 这里我们令100=K ,1=c K ,则为校正系统开环传函) 5)(1(100 )(++= s s s s G

首先绘制未校正系统的Bode 图 由图1可知,增益已调整但尚校正的系统的相角裕度为? 23.6504-,这表明系统是不稳定的。超前滞后校正装置设计的下一步是选择一个新的增益穿越频率。由)(ωj G 的相角曲线可知,相角穿越频率为2rad/s ,将新的增益穿越频率仍选为2rad/s ,但要求2=ωrad/s 处的超前相角为? 60。单个超前滞后装置能够轻易提供这一超前角。 一旦选定增益频率为2rad/s ,就可以确定超前滞后校正装置中的相角滞后部分的转角频率。将转角频率2/1T =ω选得低于新的增益穿越频率1个十倍频程,即选择2.0=ωrad/s 。要获得另一个转角频率)/(12T βω=,需要知道β的数值, 对于超前校正,最大的超前相角m φ由下式确定 1 1 sin +-= ββφm 因此选)79.64(20 ==m φβ,那么,对应校正装置相角滞后部分的极点的转角频率为 )/(12T βω=就是01.0=ω,于是,超前滞后校正装置的相角滞后部分的传函为 1 1001 520 01.02.0++=++s s s s 相角超前部分:由图1知dB j G 10|)4.2(|=。因此,如果超前滞后校正装置在2=ωrad/s 处提供-10dB 的增益,新的增益穿越频率就是所期望的增益穿越频率。从这一要求出发,可 以画一条斜率为-20dB 且穿过(2rad/s ,-10dB )的直线。这条直线与0dB 和-26dB 线的交点就确定了转角频率。因此,超前部分的转角频率被确定为s rad s rad /10/5.021==ωω和。 因此,超前校正装置的超前部分传函为 )1 1.01 2(201105.0++=++s s s s 综合校正装置的超前与之后部分的传函,可以得到校正装置的传递函数)(S G c 。 即) 1100)(11.0() 15)(12(01.02.0105.0)(++++=++++= s s s s s s s s s G c 校正后系统的开环传递函数为

哈工大模电期末考试题及答案

一、 填空(16分) 1、在电流控制方式上,双极型晶体管是__电流控制电流源____型,而场效应管是__电压控制电流源___型;二者比较,一般的由_____场效应管___构成的电路输入电阻大。 2、放大电路中,为了不出现失真,晶体管应工作在___放大___区,此时发射结___正偏______,集电结___反偏______。 3、负反馈能改善放大电路性能,为了提高负载能力,应采用___电压___型负反馈,如果输入为电流源信号,宜采用___并联___型负反馈。 4、正弦波振荡电路应满足的幅值平衡条件是___AF=1____。RC 振荡电路、LC 振荡电路及石英晶体振荡电路中,___石英晶体振荡电路___的频率稳定性最好。 5、直流电源的组成一般包括变压器、_整流电路__、_滤波电路_和_稳压电路_。 6、下列说法正确的画√,错误的画× (1)放大电路的核心是有源器件晶体管,它能够实现能量的放大,把输入信号的能量放大为输出信号的能量,它提供了输出信号的能量。 ( × ) (2)共集组态基本放大电路的输入电阻高,输出电阻低,能够实现电压和电流的放大。 ( × ) (3)图1所示的文氏桥振荡电路中,对于频率为01 2f RC π=的信号,反馈信 号U f 与输出信号U o 反相,因此在电路中引入了正反馈环节,能产生正弦波振荡。 ( × ) 第 1 页 (共 8 页) C C R R + + + +R R 3 4 o U ?f U ?t 图1

试 题: 班号: 姓名: 二、(18分)基本放大电路及参数如图2所示,U BE =0.7V ,R bb ’=300?。回答下列各问: (1) 请问这是何种组态的基本放大电路?(共射、共集、共基) (2) 计算放大电路的静态工作点。 (3) 画出微变等效电路。 (4) 计算该放大电路的动态参数:u A ,R i 和R o (5) 若观察到输出信号出现了底部失真,请问应如何调整R b 才能消除失真。 图2 答:(1)是共射组态基本放大电路 (1分) (2)静态工作点Q : Vcc=I BQ *R b +U BEQ +(1+β) I BQ *R e ,即15= I BQ *200k ?+0.7V+51* I BQ *8k ?, ∴I BQ =0.0235mA (2分) ∴I CQ =βI BQ =1.175mA , (2分) ∴U CEQ =V cc-I CQ *R C -I EQ *R E ≈V cc-I CQ *(R C +R E )=15-1.175*10=3.25V (2分) (3)微变等效电路 o (4分) (4)r be =r bb ’+(1+β)U T /I EQ =0.2+51*26/1.175=1.33K ? A u =-β(R c //R L )/r be =-50*1.32/1.33=-49.6 (2分) Ri=R b //r be ≈1.33K ?; (2分) Ro ≈Rc=2K ? (2分) (5)是饱和失真,应增大R b (1分)

哈工大电路自主设计实验

姓名 班级 学号 实验日期 节次 教师签字 成绩 影响RLC 带阻滤波器性能参数的因素的研究与验证 1.实验目的 (1)学习带阻滤波器的设计方法 (2)测量RLC 带阻滤波器幅频特性曲线 (3)研究电阻、电容和品质因素Q 对滤波器性能的影响 (4)加深对滤波器滤波概念的理解 2.总体设计方案或技术路线 (1)理论推导,了解滤波器的主要性能参数及与滤波器性能有关的因素 (2)设计RLC 带阻滤波器电路图 (3)研究电阻R 对于滤波器参数的影响 (4)研究电容C 对于滤波器参数的影响 (5)研究电感L 对于滤波器参数的影响 (6)合理设计实验测量,结合电容C 和电感L 对滤波器参数的影响 (7)将实际测量结果与理论推导作对比,并分析实验结果 3.实验电路图 R1V- V+

4.仪器设备名称、型号 函数信号发生器 1台 FLUKE190-104数字便携式示波表 1台 十进制电阻箱 1只 十进制电容箱 1只 十进制电感箱 1只 5.理论分析或仿真分析结果 带阻滤波器是指能通过大多数频率分量、但将某些范围的频率分量衰减到极低水平的滤波器,与带通滤波器的概念相对。 理想带阻滤波器在阻带内的增益为零。带阻滤波器的中心频率f o,品质因素Q和抑制带宽BW之间的关系为 仿真结果: R=2000Ω C=0.01uf L=0.2H

R=500Ω C=0.01uf L=0.2H

R=2000Ω C=0.05uf L=0.2H

R=2000Ω C=0.01uf L=0.1H R=2000Ω C=0.01uf L=0.5H

改变R时对比图 改变C时对比图 改变L时对比图 6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录) (1)电阻R对于滤波器参数的影响 任务1:电路如图所示,其中信号源输出Us=5V,电容C=0.01uF,电感L=0.2H,根据下表所示,选择不同电阻值测量输出幅频特性

哈工大单片机实验报告(上传)

H a r b i n I n s t i t u t e o f T e c h n o l o g y 单片机原理与应用 实验报告 学生姓名: 学号: 班级: 专业: 任课教师: 所在单位: 2013年5月

软件实验 在软件实验部分,通过实验程序的调试,使学生熟悉MCS-51的指令系统,了解程序设计过程,掌握汇编语言设计方法以及如何使用实验系统提供的调试手段来排除程序错误。 实验一清零程序 一、实验目的 掌握汇编语言设计和调试方法,熟悉键盘操作。 二、实验内容 把2000~20FFh的内容清零。 三、程序框图 四、实验过程 实验中利用MOVX语句,将外部存储器指定内容清零。利用数据指针DPTR完成数据传送工作。程序采用用循环结构完成,R0移动单元的个数,可用CJNE比较语句判断循环是否结束。 五、实验结果及分析 清零前清零后

【问题回答】清零前2000H~20FFH中为内存里的随机数,清零后全变为0。 六、实验源程序 AJMP MAIN ORG 0640H MAIN: MOV R0, #00H MOV DPL, #00H MOV DPH, #20H LOOP: MOV A, #00H MOVX @DPTR, A INC DPTR INC R0 CJNE R0, #0FFH, LOOP MOVX @DPTR, A END 实验二拆字程序 一、实验目的 掌握汇编语言设计和调试方法。 二、实验内容 把2000h的内容拆开,高位送2001h低位,低位送2002h低位,2001h、2002h高位清零,一般本程序用于把数据送显示缓冲区时用。 三、程序框图 四、实验过程 将寄存器中内容送入2000H,分别将高低四位移到低位,将高四位置零然后移入2001H 和2002H中。利用MOVX语句、DPTR指针可实现数据的传送,利用高低四位交换语句SWAP和与语句ANL可进行对高低位的清零。

哈工大概率论2012年秋季学期期末考题及答案

哈工大 2012年 秋季学期 概率论与数理统计 试题 一、填空题(每小题3分,共5小题,满分15分) 1.设事件A 、B 相互独立,事件B 、C 互不相容,事件A 与C 不能同时发生,且 ()()0.5P A P B ==,()0.2P C =,则事件A ,B 和C 中仅C 发生或仅C 不发生的概 率为__________ . 2.设随机变量X 服从参数为2的指数分布, 则21e X Y -=-的概率密度为 ()Y f y =______ ____. 3.设随机变量X 的概率密度为21e ,0 ()20, 0 x x x f x x -?>?=??≤?,利用契比雪夫不等式估计概率 ≥<<)51(X P ______. 4.已知铝的概率密度2~(,)X N μσ,测量了9次,得 2.705x =,0.029s =,在置信度0.95 下,μ的置信区间为______ ____. 5.设二维随机变量(,)X Y 服从区域{(,)|01,02}G x y x y =≤≤≤≤上的均匀分布,令 ),min(Y X Z =,),max(Y X W =, 则)1(≥+W Z P = . (0.0250.050.050.025(8)23060,(8)18595,(9) 1.8331,(9) 2.2622t t t t =?=?== ()1.960.975Φ=,()1.6450.95Φ=) 二、选择题(每小题3分,共5小题,满分15分) (每小题给出的四个选项中,只有一个是符合题目要求的,把所选项的字母填在题后的括号内) 1.设0()1, 0()1, ()()P A P B P B A P B < <<<=,则与上式不等价的是 (A )A 与B 不相容. (B )()()P B A P B A =. (C ))()(A P B A P =. (D ))()(A P B A P =. 【 】 2.设总体X 服从参数为λ的泊松分布,12,, ,n X X X 是来自X 的样本,X 为样本均值, 则 (A )1 EX λ =,2 1 DX n λ= . (B ), λ=X E n X D λ=. (C ),n X E λ = 2 n X D λ = . (D ),λ=X E λ n X D 1 = . 【 】

哈工大电子技术实验四人无弃权表决电路(高分版)

姓名XXX 班级1108301 学号11108301xx 实验日期 6.5 节次9-11 教师签字成绩 四人无弃权表决电路 1.实验目的 1)掌握74LS20的逻辑功能和使用方法; 2)通过实验,进一步熟悉组合逻辑电路的分析与设计方法。 2.总体设计方案或技术路线 设计一个四人无弃权表决电路(多数赞成则提议通过,即三人以上包括三人),用74LS20来实现。 1)根据任务的要求,设计电路; 2)用代数化简法求出最简的逻辑表达式; 3)根据表达式,画出逻辑电路图,用标准器件(与、或、非)构成电路; 4)最后,用实验来验证设计的正确性。 3.实验电路图 1)ABCD输入端,接数据开关;Z输出端接电平指示器; 2)改变ABCD的组态,记录Z的变化,验证逻辑函数的功能及设计的正确性。 4. 仪器设备名称、型号 1)实验箱 1台 2)双踪示波器 1台 3)双路直流稳压电源 1台 4)数字万用表 1只 5)74LS20 3片

5.理论分析或仿真分析结果 74LS20管脚图: 逻辑关系式: C AB D Z=ABC+BCD+ACD+ABD=AB BCDACD 逻辑图:

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)真值表:

7.实验结论 由真值表可知,四人无弃权表决电路设计成功,实现了预期功能。 8.实验中出现的问题及解决对策 实验过程中由于有五个与门,而每个74LS20可实现两个与门,故线路连起来相当复杂,容易混淆,故在连接电路时安排好位置,标记好引脚和接头。 9.本次实验的收获和体会、对电路实验室的意见或建议 此次设计是对经典四人表决电路的一次创新,利用书本上的知识和以前类似实验的设计思路进行了此次实验,锻炼了实践能力,熟悉了组合逻辑电路的设计方法。 这次的实验绝对原创的,是对以前做过的实验的一次创新,复杂了不少,锻炼了能力。 10.参考文献 [1]电工学实验教程/王宇红主编.——北京:机械工业出版社,2009.8(2012.1重印)

哈尔滨工业大学微处理器原理与应用实验报告

微处理器原理与应用 实验报告 姓名:王烁行 同组人:张绍文、马文佳、孙蓦征 学号:1080520406 班级:0805204 指导教师:赵彬 院系:电子信息工程

1 实验一简单I/O口扩展实验(一) 交通灯控制实验 1.1实验要求 扩展实验箱上的74LS273作为输出口,控制八个发光二极管燃灭,模拟交通灯管理。 1.2实验目的 1.学习在单片机系统中扩展简单I/O接口的方法 2.学习数据输出程序的设计方法 3.学习模拟交通灯控制的实现方法 1.3实验原理 本实验需要用到实验箱上八个发光二极管中的六个,即红、黄、绿各两个。不妨将L1、L3、L5作为东西方向的指示灯,将L2、L4、L6作为南北方向的指示灯。而交通灯的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 各发光二极管共阳极,阴极接有与非门,因此使其点亮应使相应输入端为高电平。1.4 实验内容(包括实验电路和程序流程图) 按指导书搭接电路,调试程序并运行。

图1实验电路图 图2实验流程图

1.5 实验结果 实验现象与既定目标相符:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。 1.6 实验结果讨论分析 实验中发现交通灯在黄灯和红绿灯切换的时候有抖动和延时,初步估计是单片机定时系统不稳定以及程序的延时冗余没有添加所导致。 1.7 实验程序代码 PORT EQU 0CFA0H ;片选地址CS0 ORG 0000H LJMP BEGIN ORG 4100H BEGIN: MOV A,#03H ;1、2亮,其余灭 ACALL SHOW ;调用273显示单元 ACALL T03 ;延时3秒 EW: MOV A,#12H ;东西导通;南北截止 ACALL SHOW ACALL T10 ;延时10秒 MOV A,#02H ;东西截止;南北截止 ACALL SHOW SNBY: MOV A,#04H ;3亮,其余灭 ACALL SHOW ;调用273显示单元 ACALL T02 ;延时2秒 MOV A,#00H ; ACALL SHOW ACALL T02 ;延时2秒 MOV A,#04H ;3亮 ACALL SHOW ACALL T02 ;延时2秒 MOV A,#00H ;灭 ACALL SHOW ACALL T02 ;2秒 MOV A,#04H ;3亮 ACALL SHOW

哈工大 电工大作业

电子技术课程设计一评分:数字显示电子钟 班级: 学号: 姓名: 日期:2015年月日 一、题目:数字显示电子钟 二、设计要求:

1) LED数码管显示小时、分、秒; 2)可以快速校准小时、分;秒计时可以校零; 3)最大显示为23小时59分59秒; 4)秒脉冲信号由1MHz信号经分频器产生; 三、电气原理图 上图为时钟电路总图,电路由秒时钟信号发生器、计时电路和校时电路构成。1)时钟信号发生器部分如下图所示;output端输出1Hz脉冲信号,其为上图中方波脉冲发生电路; 2)计时电路中采用两个60进制计数器分别完成秒计时和分计时;24进制计数器完成时计时;用数码管显示时间的译码结果; 3)校时电路采用开关控制秒时钟信号为校时脉冲以完成校时。

四、各功能块的原理说明 1)秒计时器及秒计时校零部分 由一个十进制计数器和一个六进制计数器串联而成为六十进制计数器。在电路设计中采用是74LS161反馈预置法来实现十进制与六进制功能。 图片底部按钮为秒计时校零按钮,按下按钮时,通过与门将LOAD 端置零实现秒计时器的置零

2)分、时计时器及校准部分 时计时器是由两片74LS161级联而成的二十四进制的计数器,分计时器是由两片74LS161级联而成的六十进制的计数器,采用的是反馈复位法。图片中两个计时器下部为时、分校准按钮,按动按钮相当于提供手动的脉冲,通过按动按钮,实现两个计数器的示数的改变,进而实现时间的校准。 3)秒时钟信号发生器 如图为秒脉冲信号发生器,由分频器6次分频1MHz信号产生秒脉冲,每次1/10分频,电路左上角为1MHz信号输入,output为1Hz信号输出

哈工大汽车驾驶与汽车文化课期末考试试题与答案

学院:市政环境工程学院。专业:给排水科学与工程。姓名:XXX 学号:XXX 汽车驾驶与汽车文化课大作业题目: 1、简要阐述世界主要汽车生产国所生产车型的特点。(15分) 答:德系车:底盘重,稳定,性能不错,虽然发动机挺先进,但是由于自 重原因油耗仍然相对较大,多数是豪华的代名词。代表车厂:宝马(劳斯莱斯,豪华品牌,现在宝马旗下;mini)、奔驰(迈巴赫,同宝马)、大众(宾利,同宝马;奥迪;兰博基尼<大众为最大股东>;布加迪<同兰博基尼>)、保时捷(据说要收购大众) 法国车:安全系数高,以经济实惠见长,除了布加迪。代表车厂:雷诺、标志-雪铁龙集团 英国车:绅士、优雅的代名词,不过我个人认为,它们太保守了,除了曾经属于福特旗下的阿斯顿·马丁(他以跑车著称,可以和法拉利、保时捷、兰博基尼、玛莎拉蒂相比较的品牌) 意大利车:激情、性能之王、油耗巨高,不过同样拥有经济、省油的车。代表车厂:法拉利、兰博基尼(现归属大众集团)、玛莎拉蒂、阿尔法罗密欧。 美国车:宽大、乘坐舒适、发动机技术稍落后于欧日、发动机扭矩大、SUV/皮卡很多。代表车厂:福特(控股福特、林肯、沃尔沃、马自达等等);通用(控股雪弗兰、别克、凯迪拉克、土星、庞蒂亚克、霍顿等等);克莱斯勒(控股克莱斯勒、道奇、jeep等等)。 日本车:车轻、省油,不耐撞但是对乘客保护相对过去有很大提高,发动机动力虽然不强,但是省优效果非常好。代表车厂:丰田(高端车:雷克萨斯,用来冲击美国高级车市场的品牌,将近赶上奔驰们的水平);本田(高端车:讴歌);日产(高端车:英菲尼迪)(日产和法国雷诺有联盟);马自达(福特控股)、三菱、铃木等等,据说日本有十三个品牌 韩国车:便宜的代名词,安全系数低(比国产车高点),代表车厂:现代、起亚、双龙。 国产车:优点:便宜。缺点:原封不动的照抄。 2、行车上路前应做好哪些必要地准备?(15分) 答:1、平时的习惯应为一看油(量)二看水(温)别忘四条腿(轮胎); 2、座椅位置是否合适、舒适; 3、三个后视镜位置是否合适; 4、系好安全带 ; 5、记好保险公司的电话 ; 6、定期保养。

哈工大数字电路实验报告实验一

数字逻辑电路与系统上机实验报告 实验一组合逻辑电路的设计与仿真 学校:哈尔滨工业大学 院系:电信学院通信工程系 班级:1205102 学号:11205102 姓名: 哈尔滨工业大学

实验一组合逻辑电路的设计与仿真 2.1 实验要求 本实验练习在Maxplus II环境下组合逻辑电路的设计与仿真,共包括5个子实验,要求如下:

2.2三人表决电路实验 2.2.1 实验目的 1. 熟悉MAXPLUS II原理图设计、波形仿真流程 2. 练习用门电路实现给定的组合逻辑函数 2.2.2 实验预习要求 1. 预习教材《第四章组合逻辑电路》 2. 了解本次实验的目的、电路设计要求 2.2.3 实验原理 设计三人表决电路,其原理为:三个人对某个提案进行表决,当多数人同意时,则提案通过,否则提案不通过。 输入:A、B、C,为’1’时表示同意,为’0’时表示不同意; 输出:F,为’0’时表示提案通过,为’1’时表示提案不通过; 波形仿真。 2.2.4 实验步骤 1. 打开MAXPLUS II, 新建一个原理图文件,命名为EXP2_ 2.gdf。 2. 按照实验要求设计电路,将电路原理图填入下表。

制输入信号A、B、C的波形(真值表中的每种输入情况均需出现)。 4. 运行仿真器得到输出信号F的波形,将完整的仿真波形图(包括全部输入输

2.3 译码器实验 2.3.1实验目的 熟悉用译码器设计组合逻辑电路,并练习将多个低位数译码器扩展为一个高位数译码器。 2.3.2实验预习要求 1. 预习教材《4-2-2 译码器》一节 2. 了解本次实验的目的、电路设计要求 2.3.3实验原理 译码器是数字电路中的一种多输入多输出的组合逻辑电路,负责将二进制码或BCD码变换成按十进制数排序的输出信息,以驱动对应装置产生合理的逻辑动作。商品的译码器品种较多,有2-4线、3-8线、4-10线及4-16线等。本实验练习对双2-4线译码器74LS139的扩展,并用其实现特定的组合逻辑。74LS139包含两个2-4线译码器,其输入输出如下: 74LS139中译码器1真值表如下: 74LS139中译码器2真值表如下:

相关文档
最新文档