《基础工业工程》实验大纲

《基础工业工程》实验大纲
《基础工业工程》实验大纲

《基础工业工程》实验大纲

课程名称基础工业工程课程编号

课程性质必修总学时 46 总学分 2.5 开课学期 5 适用专业工业工程

先修课程

一、课程简介及基本要求

《工业工程基础实验》是继《管理学原理》课程之后而开设的独立实验课程,是理论教学的深化和补充,具有较强的实践性,是一门重要的技术基础课,作为工业工程类专业学生的必修课。

本课程以实践环节为主,根据课程的性质、任务、要求及学习的对象,将课程内容分两个层次:工厂参观和实际操作.第一个层次将由任课教师和相关工程技术人员带学生进驻工厂和企业来完成,观察次数约2至3次;第二个层次将由学生根据工厂现场观察、记录甚至统计后来完成,可以独立完成,也可以几人一组共同完成.

通过工厂现场参观后,学生应达到下列要求:

1.进一步巩固和加深工业工程基本知识的理解,提高综合运用所学知识,独立观察问题的能力。

2.能根据需要选学参考书,查阅手册,通过独立思考,深入钻研有关问题,学会自己独立分析问题、解决问题,具有一定的创新能力。

3.能正确使用仪器设备,掌握”5W1H”提问技术和”ECRS”分析原则。

4.能独立撰写实验报告,准确分析实验结果,正确绘制程序图。

5.课前做好预习,准确分析实验结果,并查阅相关资料。

通过该课程的学习,使学生巩固和加深工业工程技术理论知识,通过实践进一步加强学生独立分析问题和解决问题的能力、综合设计及创新能力的培养,同时注意培养学生实事求是、严肃认真的科学作风和良好的实验习惯,为今后工作

打下良好的基础。

二、主要仪器设备

秒表,摄影机,直尺,观测板,白纸若干。

三、实验方式与基本要求

1.本课程以企业参观(或观看生产视频资料)与记录为主,为单独设课,所以开课后,任课教师需向学生讲清课程的性质、任务、要求、课程安排和进度、平时考核内容、期末考试办法、工厂规则和纪律等。

2.该课以实践形式进行,指导教材中只给出实践的题目,实践前学生必须进行预习,由任课教师提问考察学生的预习,然后方能正式进行工厂参观。

3.参观形式以20一组,由相关工程技术人员带队指导,必要时可讲座的形式进行.

4.参观后,学生必须完成实验报告的撰写,应对结果进行详细分析,得出效率的改善情况.

5.任课教师要认真参观工作,参观清点学生人数,参观后按要求做好学生实践情况及结果记录。

四、实验项目设置与内容

五、说明

1.《工业工程基础》的先修课程是《工业工程导论》,学生通过理论学习后,已初步掌握了工业工程基础的基本理论和分析问题的基本方法。

2.在《工业工程基础》教学中,应注意不断深化和扩展教学内容,同时应结合企业实际进行讲解。

3.在工厂参观过程中,应培养学生善于观察问题,分析问题与解决问题的能力,同时应培养学生的创新能力。

电子技能实训教学大纲

电子技能实训教学大纲 Final approval draft on November 22, 2020

电子技能实训教学大纲一、实训课程概况: 电子技能实训教学是以学生自己动手,掌握电子技术基础理论、一定操作技能和制作几种实际产品为特色的实训项目。它是电子产品生产基本技能和工艺知识入门的向导,又是创新实践的开始和创新精神的启蒙。电子技能实训教学体系的研究是为了在电子实训教学中使机电、电子专业的学生尽快掌握电子产品的生产工艺的理论,掌握技能、积累经验和提高能力共同发展。为机电、电子专业的学生毕业后尽快适应岗位的需要,改革现行的电子实训教学内容和教学方式,高起点的培养电子产品的设计制造人才,以满足制造业发展对人才的需要。 二、实训课程安排: 本课程分九个实训单元进行。 (一) 电子基本技能实训 (二)电子仪器与线路分析实训 (三)EDA实训 (四)电子综合技能(信号发生器制作)实训 (五)电子综合技能(数字电子钟制作)实训 (六)计算机仿真实训 (七)家用电器维修实训 《电子基本技能实训》教学大纲 实训名称:电子基本技能实训实训课代码: 实训周数:一周实训学分:1 适用专业:工科类修电子技术课程所有专业,适用于本科 一、实训教学的性质和任务 电子基本技能实训是电子、电气类职业技术教育的重要环节,是培养学生实践技能的重要途径之一。本课程从电子元器件识别、检测,通过万用表、电子测量仪器的使用,焊接元器件装配技术,手工设计印制电路板,使学生得到一个基本的实践技能训练机会,为以后的实验、实训课程打下基础。 主要目的和任务:

(一)、掌握电子技术应用过程中的一些基本技能。 (二)、巩固、扩大已获得的理论知识。 (三)、了解电子设备制作、装调的全过程,掌握查找及排除电子电路故障的常用方法。 (四)、培养学生综合运用所学的理论知识和基本技能的能力,尤其是培养学生独立分析和解决问题的能力。 二、实训教学的主要内容和基本要求 1、电子基本技能实训教学的主要内容及知识、能力、素质的基本要求: (一)、熟练掌握指针式万用表和数字式万用表的使用方法及注意事项。 (二)、熟练识别各种电子元器件;了解各种元器件的作用、分类、性能及其参数。(三)、用万用表对各种元器件进行测试和判别。 (四)、会查阅电子元器件相关手册。 (五)、掌握各种仪器仪表的操作步骤;了解各种仪器仪表的使用注意事项 (六)、掌握各种焊接工具的使用及维护。 (七)、熟悉电子产品的安装及手工焊接技术,能独立完成电子元器件的拆、装、焊。(八)、能独立完成简单电子产品的安装与焊接。 (九)、熟悉电路板的设计原则;了解印制电路板的制作过程。 (十)、掌握简单电子产品(LC振荡器)原理图的绘制。 2、电子基本技能实训教学方法手段的基本要求: 将该实训分成教学模块,由老师逐块讲解示范,再由学生动手实际操作,老师布置实训任务,学生在规定时间内完成,教师随时指导检查,最终使学生熟练掌握该实训的全部内容,并写出实训总结报告。 3、电子基本技能实训教学考核方法的基本要求: 在规定时间内完成实训任务,并且准确设计合理的,成绩优秀(10分); 在规定时间内完成实训任务,但有错误能及时发现并改正者,成绩良好(8分); 在规定时间内完成实训任务,但错误未能改正者,成绩及格(6分); 未能在规定时间内完成实训任务者,成绩不及格(4分)。 各次考核成绩最终汇总量化,同出勤、课堂表现成绩一同计入总成绩。 出勤:10分课堂考核:50分(10分/次*5次) 课堂表现:10分实训总结报告:30分

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

《近代物理实验》教学大纲

《近代物理实验》教学大纲 一、课程名称与编号 课程名称:近代物理实验编号:023315 二、学时与学分 本课程学时:84 本课程学分:5学分 三、授课对象 物理学专业学生,第六、七个学期做 四、先修课程 力学、热学、电磁学、光学、原子物理学、高等数学 五、课程的性质和目的 科学实验是理论的源泉,是自然科学的根本,也是工程技术的基础。物理学是一门实验科学,所有物理定律的形成和发展都是建立在客观自然现象的观察和研究的基础上的,并以实验结果为检验理论正确与否的唯一标准,重要的物理实验常常是新兴科学技术的生长点。 《近代物理实验》是继《普通物理实验》和《无线电电子实验》后的一门重要实验基础课程,本课程所涉及的物理基础知识面较广,并具有较强的综合性和技术性。 本课程的主要目的是:通过近代物理实验,丰富和活跃学生的物理思想,培养学生敏锐的观察能力,分析、归纳和综合能力,掌握新技术的能力,创新意识和综合素质。引导学生了解物理实验在物理概念的产生、形成和发展中的作用,学习近代物理中的一些常用方法、技术、仪器等知识,使他们具备良好的实验素养,严谨的科学作风,求实的科学精神,并具备一定的独立工作能力和科学研究能力。 六、主要内容、基本要求及学时分配 讲授部分 1、绪论(2学时) 理解近代物理实验课的特点,了解课程的内容、任务和学习方法。了解一些实验的史料,加深对近代物理实验的了解。 2、实验的误差分析与数据处理(4学时) 在普通物理验实训练的基础上,继续巩固和加强有关实验误差和数据处理的训练。如泊松分布、曲线的拟合等,可通过讲授或落实到一些实验题目中进行。 3、理解近代物理实验仪器的工作原理、使用常识(2学时) 掌握实验中的注意事项,包括人身安全及防护、通用仪器的正常使用。理解使用特殊仪

【实验报告】近代物理实验教程的实验报告

近代物理实验教程的实验报告 时间过得真快啊!我以为自己还有很多时间,只是当一个睁眼闭眼的瞬间,一个学期都快结束了,现在我们为一学期的大学物理实验就要画上一个圆满的句号了,本学期从第二周开设了近代物理实验课程,在三个多月的实验中我明白了近代物理实验是一门综合性和技术性很强的课程,回顾这一学期的学习,感觉十分的充实,通过亲自动手,使我进一步了解了物理实验的基本过程和基本方法,为我今后的学习和工作奠定了良好的实验基础。我们所做的实验基本上都是在物理学发展过程中起到决定性作用的著名实验,以及体现科学实验中不可缺少的现代实验技术的实验。它们是我受到了著名物理学家的物理思想和探索精神的熏陶,激发了我的探索和创新精神。同时近代物理实验也是一门包括物理、应用物理、材料科学、光电子科学与技术等系的重要专业技术基础物理实验课程也是我们物理系的专业必修课程。 我们本来每个人要做共八个实验,后来由于时间关系做了七个实验,我做的七个实验分别是:光纤通讯,光学多道与氢氘,法拉第效应,液晶物性,非线性电路与混沌,高温超导,塞满效应,下面我对每个实验及心得体会做些简单介绍: 一、光纤通讯:本实验主要是通过对光纤的一些特性的探究(包括对光纤耦合效率的测量,光纤数值孔径的测量以及对塑料光纤光纤损耗的测量与计算),了解光纤光学的基础知识。探究相位调制型温度传感器的干涉条纹随温度的变化的移动情况,模拟语电话光通信, 了解光纤语音通信的基本原理和系统构成。老师讲的也很清楚,本试验在操作上并不是很困难,很易于实现,易于成功。

二、光学多道与氢氘:本实验利用光学多道分析仪,从巴尔末公式出发研究氢氘光谱,了解其谱线特点,并学习光学多道仪的使用方法及基本的光谱学技术通过此次实验得出了氢原子和氘原子在巴尔末系下的光谱波长,并利用测得的波长值计算出了氢氘的里德伯常量,得到了氢氘光谱的各光谱项及巴耳末系跃迁能级图,计算得出了质子和电子的质量之比。个人觉得这个实验有点太智能化,建议锻炼操作的部分能有所加强。对于一些仪器的原理在实验中没有体现。如果有所体现会比较容易使学生深入理解。数据处理有些麻烦。不过这也正是好好提高自己的分析数据、处理数据能力的好时候、更是理论联系实际的桥梁。 三、法拉第效应:本实验中,我们首先对磁场进行了均匀性测定,进一步测量了磁场和励磁电流之间的关系,利用磁场和励磁电流之间的线性关系,用电流表征磁场的大小;再利用磁光调制器和示波器,采用倍频法找出ZF6、MR3-2样品在不同强度的旋光角θ和磁场强度B的关系,并计算费尔德常数;最后利用MR3样品和石英晶体区分自然旋光和磁致旋光,验证磁致旋光的非互易性。 四p液晶物性:本实验主要是通过对液晶盒的扭曲角,电光响应曲线和响应时间的测量,以及对液晶光栅的观察分析,了解液晶在外电场的作用下的变化,以及引起的液晶盒光学性质的变化,并掌握对液晶电光效应测量的方法。本实验中我们研究了液晶的基本物理性质 和电光效应等。发现液晶的双折射现象会对旋光角的大小产生的影响,在实验中通过测量液晶盒两面锚泊方向的差值,得到液晶盒扭曲角的大小为125度;测量了液晶的响应时间。观察液晶光栅的衍射现象,在“常黑模式”和“常白模式”下分别测量了液晶升压和降压过程的电光响应曲线,求得了阈值电压、饱

电工电子技术课程教学大纲

电工电子技术课程教学大纲

一、课程的地位、目的和任务 本课程地位:掌握实验室常用电工电子仪器的使用方法;掌握电子技术必要的基本理论、基本知识和基本技能;了解电子技术的应用和发展概况;为学习后续课程以及从事与本专业有关的工程技术等工作打下一定的基础。 本课程目的: 本课程包含两大方面的内容,即电工技术与电子技术,目的是培养学生掌握电工技木和电子技术的基础理论知识和实验技能,并在实验实月训基础上,能根据机电设备的运行要求,完成继电器-接触器控制电路的设计与调试。 本课程任务: 1.掌握电工技术领域中基本理论、基本知识和基本分析方法;初步掌握一般电路和电子电路的分析方法。 2.了解常用电子器件的作用和功能,并能正确使用 3.受到必要的实验技能训练,能使用最常用的电工电子仪表,能独立完成不太复杂的电工电子实验,养成严谨的科学作风。 4.了解电工电子技术领域中的新理论、新技术、新知识。 二、本课程与其它课程的联系 前修课程:高等数学、普通物理。一 后修课程:单片机原理与接口技术、机电传动与控制等。 三、教学内容及要求 教学要求: 第一章电路的基本概念和基本定律

第二章电路的稳态分析 教学要求: 通过支路电流法、结点电压法、叠加定理、戴维南 定理、诺顿定理的学习;深入理解正弦电压与电流;正 弦量的相量表示法;电阻、电容、电感、兀件的交流电 路;电阻、电感与电容串联的交流电路;复杂交流电路 的分析与计算;功率因数的提高;三相电源;负载星形 联接的三相电路;负载三角形联接的三相电路;三相电 路的功率。 一 重点:戴维南定理;正弦量的相量表示法;电阻、 电感与电容串联的交流电路;三相电源;三相电路的功 率。、 、亠、 亠 难点:电阻、电感与电容串联的交流电路;复杂交 流电路的分析与计算;负载星形联接的三相电路。 教学内容: 模型通过电路的基本概念及和电的作用与號部分姆路 律;电源有载工作、开路与短路;基尔霍夫定律;电路 律;电源有载工作、开路与短路;基尔霍夫定律; 中电位的概念及计算等 重点:欧姆定律;基尔霍夫定律;电路中电位的概 念及计算 难点:电路的基本定律、电路的基本分析方法。 教学内容: 概念 第一节电路的基本 (四) 路元件 电路的组成及其作用 电路模型 电路的基本物理量及其参考方向 电气设备的额定值及电路的工作状态 第二节基本理想电 (四) (五 ) 电阻元件 电容元件 电感兀件 电压源 电流源 第三节基尔霍夫定 基尔霍夫电流定律

《电工电子技术》教学大纲

《电工与电子技术》教学大纲 课程名称:电工电子技术课程类别:职业基础课 学时: 88 学分: 4.5 适用专业:机械类所有专业 先修课程:工程数学(含线代) 一、课程教学目标 《电工电子技术》是一门具有较强实践性的职业基础课程。通过本课程的学习,学生可以获得电工和电子技术的基本理论和基本技能。为学习后续课程和专业课打好基础,也为今后从事工程技术工作和科学研究奠定一定的理论基础。 课程的任务在于培养学生的科学思维能力,树立理论联系实际的工程观点,提高学生分析问题和解决问题的能力。 二、教学内容及基本要求 1、电路 (1)了解电路的作用和组成,电路的三种状态。 (2)了解电路主要物理量的定义。 (3)掌握电流、电压的参考方向。 2、电路的基本元件 (1)了解电阻、电感和电容元件的特性。 (2)掌握电源的两种模型及外特性。 3、电路的基本定律 (1)了解欧姆定律和基尔霍夫定律的主要内容。 (2)掌握用欧姆定律和基尔霍夫定律分析电路的方法。 4、电路的分析方法 (1)掌握用支路电流法,叠加原理,戴维南定理分析电路。 (2)学会运用电压源、电流源的互换方法。 5、正弦交流电路基础 (1)掌握正弦量的相量表示法。 (2)了解正弦量的三要素。 (3)掌握分析单一参数元件的交流电路。 6、正弦交流电路的分析方法 (1)了解谐振的基本概念以及RLC串联电路与并联电路的谐振条件和特点。 (2)掌握RLC串联电路中电压与电流的关系及功率的计算。 (3)掌握阻抗串、并联电路的分析、计算方法,提高功率因数的方法。 7、三相正弦交流电路 (1)了解了解三相交流电的产生。 (2)掌握三相交流电的表示方法。 (3)掌握负载两种连接形式的相、线电压,相、线电流的关系。

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

原子物理学教学大纲

原子物理学理论课教学大纲 《原子物理学》课程教学大纲新06年8月课程编号:02300009 课程名称:原子物理学 英文名称: Atomic Physics 课程类型:专业基础课 总学时: 54 学分: 2.5 适用对象:物理、电子信息科学专业本科生 先修课程:高等数学、力学、电磁学、光学 1.课程简介 本课程着重从光谱学、电磁学、X射线等物理实验规律出发,以原子结构为中心,按照由现象到本质、由实验到理论的过程帮助学生建立起微观世界量子物理的基本概念,并利用这些基本概念说明原子、分子以及原子核和粒子的结构和运动规律,介绍在现代科学技术上的重大应用。是近代物理的入门课程,是物理专业的一门重要基础课。本课程需在高等数学、力学、电磁学、光学之后开设,是理论物理课程中量子力学部分的前导课程,拟在第三学年第一学期开出。 2.课程性质、目的和任务

本课程是物理专业学生必修课。是力学、电磁学和光学的后续课程、近代物理课的入门课程。是量子力学、固体物理学、原子核物理学、激光、近代物理实验等课程的基础课。目的是引导学生从实验入手,用量子化和微观思维方式,分析微观高速运动物体的规律。主要任务是:通过本课程的教学,让学生对原子及原子核的结构、性质、相互作用及运动规律有概括而系统的认识。通过对重要实验现象以及理论体系逐步完善过程的分析,使学生建立丰富的微观世界的物理图像和物理概念,培养学生用微观思维方式分析问题和解决问题的能力。 3.教学基本要求 (1)了解原子物理学、原子核物理学发展的历程,培养科学研究的素质,加深对辩证唯物主义的理解。 (2)了解原子和原子核所研究的内容和前沿研究领域的概况,培养有现代意识、有远见的新一代大学生。 (3)掌握原子、原子核物理学的基本原理、基本概念和基本规律;掌握处理原子、原子核物理学现象及问题的手段和途径。培养学生掌握科学研究的基本方法。 (4)使学生了解无限分割的物质世界中的依次深入的不同结构层次,理解原子核的结构和基本性质、基本运动规律; (5)结合一些物理学史介绍,使学生了解物理学家对物理结构的实验——理论——再实验——再理论的认识过程,了解微观物理学对现代科学技术重大影响和各种应用,并为以后继续学习量子力学和有关课程打下基础。 4.教学内容及要求

《模拟电子技术实验》教学大纲

《模拟电子技术实验》教学大纲 课程中文名称(课程英文名称):模拟电子技术实验/Experiments of analog electron technology 一、课程编码:1021004006 二、课程目标和基本要求: 1、模拟电子技术实验是《模拟电子技术基础》课程的主要实践环节,是深化理论知识,培养实验技能,提高学生运用理论分析、解决实际问题的能力的重要教学和学习过程。 2、通过实验使学生充分认识到电子技术研究和发展的重要位置,以及它在物理学科应用中的重要意义。通过实验引导、启发学生解放思想、更新观念、摆正理论与实践的关系。 三、课程总学时: 30 学时(严格按教学计划时数)[理论: 0 学时;实验: 30 学时] 四、课程总学分: 1 学分(严格按教学计划学分) 五、适用专业和年级:物理教育学;2006级。 六、实验项目汇总表: 八、大纲内容:

实验一常用电子仪器的使用 [实验目的和要求] 1、学习电子电路实验中常用的电子仪器的主要技术指标、性能及正确使用方法。 2、初步掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。 [实验内容] 1、示波器的检查与校准; 2、用示波器观察和测量交流电压及周期; 3、用示波器测量直流电压; 4、用示波器测量相位; 5、毫伏表与数字万用表交流电压测量的比较。 [主要实验仪器与器材] 1、SS-7802示波器一台; 2、EM1642信号发生器一台; 3、DF1701直流电源一台; 4、DF2170毫伏表一台; 5、UT56数字万用表一只。 实验二、晶体管元件的认识和测量 [实验目的和要求] 1、掌握用万用表鉴别晶体管的性能; 2、了解晶体管特性图示仪的简单原理及使用方法,用晶体管特性图示仪测量特性曲线和参数; 3、绘制小功率晶体管的特性曲线,并运用特性曲线求参数。 [实验内容] 1、用数字万用表鉴别晶体三极管的性能; 2、XJ4810晶体管特性图示仪测量晶体管的特性曲线和参数。 [主要实验仪器与器材] 1、XJ4810晶体管特性图示仪; 2、UT56数字万用表; 3、晶体三极管(3A X31、901 4、9015)、稳压管。

给水排水工程专业实验室教学大纲

给水排水工程专业实验室教学大纲 一、实验教学在本专业的性质和任务 给水排水专业是实践性很强的应用型专业,其教学建设是适应生产的不断发展逐渐形成完善的。给水排水工程专业的教学与实验教学是给水排水和环境工程两个专业的必修课程。 实验教学在整个教学过程中的任务是:培养学生具有解决城市水厂和工业企业自用水的水质处理的基本理论、工艺流程、主要水处理构筑物及设备,学习科学实验的理论、方法,培养学生具有对不同水质进行处理时的实验能力与设计计算能力以及培养学生的创新意识。 二、毕业生应获得的实验技能要求 1.具有分析实验现象,加深对水处理原理理解的能力; 2.掌握水处理实验基本测试技术; 3.具有设计实验方案和组织实验的能力; 4.掌握测试仪器原理及使用方法; 5.掌握水处理构筑物的工艺流程及运转性能; 6.具有分析实验及整理实验数据的能力; 7.结合实验内容检索相关文献的方法,了解当前技术发展现状,掌握研究信息。 三、实验教学,实践教学的主要内容 结合给水排水工程教学特点,参照外校同类专业实验教学,初步制定以下实验教学内容; 1.第一学年第一学期组织学生参观实验室; 2.第一至第四学年,开放实验室开设演示实验、验证型实验、设计型实验、综合型实验; 3.第四学年至第七学期组织学生赴现场进行参观,认识运转实习; 4.第四学年至第七学期组织学生有序开展专业实验教学; 5.第四学年至第八学期实施学生毕业试验及教学活动。 四、主干实验课程 无机化学、物理化学、水分析化学、有机化学、工程测量、流体力学、水处理生物学、泵与泵站、水质工程试验。 五、实验课程设置基本框架 1.学科基础课实验; 计算机文化基础32 学时 Fortan 语言程序设计32 学时 大学物理实验48 学时 电工与电子技术12 学时 工程力学 2 学时 工程测量16 学时 物理化学 6 学时 无机化学 4 学时 水分析化学12 学时

西南大学物理专业近代物理实验课程

西南大学物理专业近代物理实验课程

————————————————————————————————作者:————————————————————————————————日期:

物理专业近代物理实验课程 教学大纲 物理科学与技术学院 二〇〇六年十月 《近代物理实验》教学大纲 课程名称(中文)近代物理实验 课程性质独立设课课程属性专业基础 实验指导书名称《近代物理实验》 学时学分:总学时90总学分 4 实验学时90 实验学 分4 应开实验学期 3 年级五~六学期 先修课程《原子物理学》,《原子核物理学》,《固体物理》,《量子力学》,《激光技术》等

一.课程简介及基本要求 近代物理实验是继“普通物理实验”和“无线电电子学实验”之后的一门 重要的专业实验基础课程。近代物理学实验也是介于普通物理学实验与现代科学技术研究实验之间、具有承上启下作用的重要环节。近代物理学实验涉及物理学中各项基础课程和专业课程知识,实验课程内容有一些是20世纪著名的、开拓物理学新的发展方向和方法的实验,使学生了解前人的物理思想和探索过程;有些是与近代科学技术常用实验方法有关的新实验,使学生了解有关新的实验技术和方法;还有一些实验反映物理学院系科研的部分成果。通过学习和掌握这些内容,对进一步掌握物理学概念、运用现代科学技术的实验方法有十分重要意义。近代物理学实验课程着眼于培养学生将来从事科学研究和各项实际科学活动所必备的物理实验技能。 二.课程实验目的要求 《近代物理实验》是一门面向理工科物理与材料科学类专业开设的专业技术基础实验课程。学生通过本课程学习,掌握一些比较先进的和比较综合性的实验方法和技能。加强理论与实验相结合,锻炼学生综合运用各种技术的能力,培养科学工作作风;进一步加深对有关物理学概念和规律的理解,扩大知识面,培养学生独立进行科学实验的能力;丰富和活跃学生的物理思想,锻炼学生对物理现象的洞察力和分析力,正确认识物理实验在物理学创立和发展中的地位和作用;正确认识物理概念、物理规律的产生、完善和发展过程与物理实验密切关系;了解和掌握近代物理学中常用的实验方法、实验技术、实验仪器和相关科学知识;进一步培养学生正确和良好的实验操作习惯和严谨的科学素质。使学生具有利用近代物理学实验方法和技术,观测物理现象和研究探索未知世界物理规律的创造性能力。 三.适用专业 物理学、材料物理等物理类本科生。 四.主要仪器设备: X-射线晶体分析仪、真空镀膜设备、组合式多功能光栅光谱仪、光谱分析仪、扫描隧道显微镜、相对论效应实验仪、正电子湮没寿命谱仪、磁共振实验装置、激光拉曼光谱仪等 五.实验方式与基本要求 1.本课程以实验室为课堂,以完成教学实验项目为主,教学内容按照分支学科设置专题实验项目,由专题实验项目指导教师负责实验课程教学。 2.该课程要求学生在进入实验室进行实验之前,必须对于所做实验进行预

《电子技术实践》课程教学大纲

《电子技术实践》课程教学大纲 一、课程基本情况 适用专业:高职高专电子、电信、电气、自动化 修课方式:必修 总学时数:40 考核方式:考查 教材:《电子技术实践与训练》廖先芸(高等教育出版社) 教学参考书: 叶致诚《电子技术基础实验》高等教育出版社 1995 孙梅生《电子技术课程设计》高等教育出版社 1995 陈有卿《新颖电子制作138例》人民邮电出版社 1998 钟长华《电子技术选修实验》清华大学出版社 1995 陈大钦《电子技术选修实验》(第2版) 高等教育出版社 2002 卢庆林《数字电子技术基础实验与综合训练》高等教育出版社 2002 二、课程的性质、任务和目的 本课程是电气、电子类专业的技术实践课之一,是实现理论与实践结合、培养学生智力技能的重要媒介。本课程的任务是:进行比较系统的电子技术实验实践能力和技能的培养,使学生具有较强的电子技术工程应用能力。 通过本课程的学习,在基本能力和基本技能方面应达到以下要求: 1.实验技能方面 (1)正确和熟练使用以下常用电子仪器仪表; 电压表、电流表、万用表 示波器、信号发生器、直流稳压电源 (2)掌握以下基本电量的测量和测试方法: 电压和电流的有效值和峰值 正弦交流电压信号的峰值、频率 多谐振荡信号的峰值、频率和占空比 2.在工程应用能力方面 (1)对基本和常用元、器件的识别和选择应用:

能熟练地识别色环电阻的阻值、精度、功率; 能熟练地根据标识判别电容的容量、极性、耐压; 能用万用表判别二极管、三极管的好坏、极性等; 能根据管脚图正确应用线性集成电路、常用数字集成电路; 能正确使用逻辑功能相同的TTL电路和CMOS电路。 (2)对基本电路的结构和应用: 熟悉三极管组成的基本放大电路结构,掌握静态工作点是调试方法、电压放大倍数、输入和输出电阻、频率特性的测试方法; 熟悉开关电路的结构,掌握开关状态的调试方法; 掌握普通及发光二极管的限流电阻、三极管基极电阻的计算和选择方法; 熟悉几种常用多谐振荡器的电路形式。 (3)查阅电子器件手册并根据技术要求选用合适元件的能力。 (4)借助辅助资料,读懂一般的电子线路原理图的能力。 (5)独立组装中、小电子系统的能力;分析、寻找和排除应用电路中常见故障的初步能力。 (6)独立写出有理论分析的、实事求是的、文理通顺的、字迹端正的实验报告。 三、课程的主要内容与学时分配 实验方法和技能 4 仪器及元器件基本知识2 电子电路的故障分析与排除2 验证性实验 8 基本放大电路 2 RC正弦波振荡电路2 三端集成稳压器 2 集成门电路特性2 应用性实验选题参考* 26 课题一电平指示电路2 课题二光控开关和报警电路2 课题三红外线光电开关电路2 课题四有线对讲机电路2

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

专业物理实验一

《物理专业实验一》课程教学大纲一、课程分析

二、教学内容及基本要求 教学重点: 1、密立根油滴实验中,要求学生掌握测定电子电荷值的两种方法。 2、利用单探针和双探针法来测定等离子体的各项参量。 3、掌握太阳能电池的暗态特性和太阳能电池的负载特性。 4、学会用平行光管测量凸透镜的焦距;会用平行光管测定鉴别率。。 5、测定氩原子的第一激发电位;了解在研究原子内部能量量子化问题时所 使用的基本方法。 教学难点: 1、掌握晶体电光调制的原理和实验方法;学会利用实验装置测量晶体的半 波电压,计算晶体的电光系数。 2、掌握四象限探测器的原理,将其应用于目标定向。 3、掌握LED和LD的工作原理和基本特性;掌握LED/LD的P-I(功率- 电流)特性和V-I(电压-电流)特性,并计算阈值电流和微分量子效率;掌握温度对阈值电流和输出功率的影响;LD/LED发光原理及它们之间的区别、LD/LED特性的测试方法及意义。 4、掌握全息照相的基本原理以及静物全息照相的拍摄方法,了解再现全息 物象的性质和方法。 实验教学目标与技能要求: 1、掌握近代物理学发展史上具有典型性和重要作用的实验。 2、掌握近代物理中某些主要领域的基本实验方法与技术。 3、熟悉掌握相关仪器的使用及CCD、计算机等现代技术。 4、培养学生理论与实际相结合,综合理论应用及创新精神。 5、培养学生阅读,查阅参考资料,拟订实验方案,选配测量仪器。 6、观察分析现象,独立操作,判断实验中尚存的问题。 7、巩固和加强有关数据处理,误差分析等方面的训练。 实验内容与学时分配: 实验项目一: 1、实验项目名称:TD-1太阳能电池特性试验

电子技术教学大纲

一、课程性质与任务 本课程是中等职业学校电类专业的一门基础课程。其任务是:使学生掌握电子信息类、电气电力类等专业必备的电子技术基础知识和基本技能,具备分析和解决生产生活中一般电子问题的能力,具备学习后续电类专业技能课程的能力;对学生进行职业意识培养和职业道德教育,提高学生的综合素质与职业能力,增强学生适应职业变化的能力,为学生职业生涯的发展奠定基础。 二、课程教学目标 使学生初步具备查阅电子元器件手册并合理选用元器件的能力;会使用常用电子仪器仪表;了解电子技术基本单元电路的组成、工作原理及典型应用;初步具备识读电路图、简单电路印制板和分析常见电子电路的能力;具备制作和调试常用电子电路及排除简单故障的能力;掌握电子技能实训,安全操作规范。 结合生产生活实际,了解电子技术的认知方法,培养学习兴趣,形成正确的学习方法,有一定的自主学习能力;通过参加电子实践活动,培养运用电子技术知识和工程应用方法解决生产生活中相关实际电子问题的能力;强化安全生产、节能环保和产品质量等职业意识,养成良好的工作方法、工作作风和职业道德。 三、教学内容结构 教学内容由基础模块和选学模块两部分组成。 1. 基础模块是各专业学生必修的基础性内容和应该达到的基本要求,教学时数为84学时。 2. 选学模块是适应不同专业需要,以及不同地域、学校的差异,满足学生个性发展的选学内容,选定后即为该专业的必修内容,教学时数不少于12学时。 3. 课程总学时数不少于96学时。 四、教学内容与要求 基础模块 第一部分模拟电子技术 教学单元教学内容教学要求与建议 二极管及其应用二极管的特性、结构与分类通过实验或演示,了解二极管的单向导电性; 了解二极管的结构、电路符号、引脚、伏安特性、主要参数,能在实践中合理使用二极管;了解硅稳压管、发光二极管、光电二极管、变容二极管等特殊二极管的外形特征、功能和实际应用; 能用万用表判别二极管的极性和质量优劣 整流电路及应用通过示波器观察整流电路输出电压的波形,了解整流电路的作用及工作原理; 能从实际电路图中识读整流电路,通过估算,会合理选用整流电路元件的参数; 通过查阅资料,能列举整流电路在电子技术领域的应用; 搭接由整流桥组成的应用电路,会使用整流桥 滤波电路的类型和应用能识读电容滤波、电感滤波、复式滤波电路图; 通过查阅资料,了解滤波电路的应用实例; 通过示波器观察滤波电路的输出电压波形,了解滤波电路的作用及其工作原理;

大学物理实验--教学大纲

大学物理实验课教学大纲 大学物理实验课程体系、内容和教学模式 (1) 一级物理实验(基础物理实验) (3) 二级物理实验(综合性、设计性实验) (4) 三级物理实验(现代物理实验技术) (5) 四级物理实验(研究型实验) (7) 开放实验 (8) 物理学在人的科学素质培养中具有重要的地位,实验为物理学的基础,它反映了理工科实验的共性和普遍性问题,在人才科学素质培养中起着不可替代的重要作用.20世纪中叶以来,以计算机信息科学技术、生命科学、空间科学、材料科学等为代表的新的科学技术革命,极大地加速了科学技术的发展和各学科之间的相互交叉和渗透,新的综合化趋势已成为科学发展的主流。因此,物理实验课程体系,教学内容和教学方法、手段必须由封闭型向开放型转变。大学物理实验作为大学生在进校后的第一门科学实验课程,不仅应让学生受到严格的、系统的实验技能训练,掌握科学实验的基本知识、方法和技巧,更主要的是要培养学生严谨的科学思维能力和创新精神,培养学生理论联系实际、分析和解决实际问题的能力,特别是与科学技术的发展相适应的综合能力,适应时代的发展,科技进步的创新能力。 大学物理实验课程体系、内容和教学模式 1.素质教育为目标,建立物理实验课程新体系: 打破了传统的力、热、电、光、近代物理实验教学的封闭体系。建立以基本实验、综合性实验、设计性实验、研究性实验等组成的新的实验课程体系,形成从低到高、从基础到前沿、从接授知识到培养综合能力,逐级提高的四级基础物理实验课程新体系。每一级物理实验大致用一个学期的时间完成,不同的级标志着不同实验技能和科学思维水平。使学生从较高起点进入大学物理实验,一个台阶、一个台阶地走向科学的高峰。 2.注重物理实验的时代性与先进性,改革实验教学内容: 物理实验必须与现代科学技术接轨,才能激发学生的学习积极性与热情,也才能使现代科技进步的成果渗透到传统的经典课程内容之中,例如将计算机技术、光纤技术、磁共振技术、核物理技术、X射线技术、电子显微技术、光谱技术、真空技术、传感器技术等现代技术及科研成果融用于学生物理实验之中。 3.营造培养创新人才的多元化教学模式和环境)

近代物理实验教程的实验报告

( 实验报告) 姓名:____________________ 单位:____________________ 日期:____________________ 编号:YB-BH-054001 近代物理实验教程的实验报告Experimental report of modern physics experiment course

工作报告| Work Report 实验报告近代物理实验教程的实验报告 时间过得真快啊!我以为自己还有很多时间,只是当一个睁眼闭眼的瞬间,一个学期都快结束了,现在我们为一学期的大学物理实验就要画上一个圆满的句号了,本学期从第二周开设了近代物理实验课程,在三个多月的实验中我明白了近代物理实验是一门综合性和技术性很强的课程,回顾这一学期的学习,感觉十分的充实,通过亲自动手,使我进一步了解了物理实验的基本过程和基本方法,为我今后的学习和工作奠定了良好的实验基础。我们所做的实验基本上都是在物理学发展过程中起到决定性作用的著名实验,以及体现科学实验中不可缺少的现代实验技术的实验。它们是我受到了著名物理学家的物理思想和探索精神的熏陶,激发了我的探索和创新精神。同时近代物理实验也是一门包括物理、应用物理、材料科学、光电子科学与技术等系的重要专业技术基础物理实验课程也是我们物理系的专业必修课程。 我们本来每个人要做共八个实验,后来由于时间关系做了七个实验,我做的七个实验分别是:光纤通讯,光学多道与氢氘,法拉第效应,液晶物性,非线性电路与混沌,高温超导,塞满效应,下面我对每个实验及心得体会做些简单介绍: 一、光纤通讯:本实验主要是通过对光纤的一些特性的探究(包括对光纤耦合效率的测量,光纤数值孔径的测量以及对塑料光纤光纤损耗的测量与计算), 第2页

电子技术教学大纲

《电子技术》教学大纲 第一部分:模拟部分 一、课程基本信息: (一)课程名称:电子技术(模拟部分)课程教学大纲 (二)课程类别: 专业必修课 (三)学时:78学时,其中理论70学时,实验8学时 (四)学分:6 (五)开设学期及周学时分配:第3学期,周6 二、课程的性质和任务: 本课程是电类各专业的一门重要的专业技术基础课之一,主要研究半导体器件、放大电路及信号的产生处理,是理论和实践紧密结合的应用性很强的一门课程。 本课程的任务是:使学生获得模拟电子技术方面的基本理论、基本知识和基本技能,着重培养学生分析问题解决问题及实践应用的能力。为学习其他有关课程和毕业后从事电子电气工程、自动化及计算机应用方面的工作打下必要的基础 三、课程教学目标: (一)知识目标 通过理论教学,使学生掌握模拟电子电路基础知识,各种基本电路的组成、工作原理、性能特点及主要参数。掌握常用模拟电路的分析和设计方法。 (二)能力目标 通过理论学习和实践教学,使学生具有使用常用电子仪器仪表的能力,具有查阅电子元器件手册并合理选用元器件的能力,阅读和应用常见模拟电路的能力,测试常用模拟电路功能,排除简单故障的能力。 四、教学内容和要求 (一)基础模块 课题一半导体基本知识及其基本应用 教学内容 a)半导体基本知识 b)PN结及其特性 c)半导体二极管结构、特性及其基本应用 d)特殊半导体二极管 教学要求 1.掌握半导体二极管的单向导电作用、正偏和反偏,稳压管的稳压作用,整流滤波等基本概念 2.掌握普通二极管和稳压管的外特性 3.掌握单向桥式整流滤波电路输出直流电压和输入交流电压有效值的关系 4.熟悉普通二极管和稳压管的主要参数,使用方法,注意事项,选用原则;发光二极管和光电二极管的性能、使用方法

相关文档
最新文档