数字逻辑随堂练习答案知识讲解

数字逻辑随堂练习答案知识讲解
数字逻辑随堂练习答案知识讲解

A. B. C.

A. B. C.

A. B. C.

A. B. C.

答题: A. B. C. D. (已提交)

参考答案:A

问题解析:

6.将十六进制数(101)16转换成二进制数是()。

A.00001001 B.100000001 C.100000001 D.10101000

答题: A. B. C. D. (已提交)

参考答案:B

问题解析:

7.将二进制数(101001101100)2转换成十六进制数是()。

A.A6B B.A6C C.A6D

D.E3B

答题: A. B. C. D. (已提交)

参考答案:A

问题解析:

8.与十进制数127相应的二进制数是( B )。

A.11111111 B.01111111 C.00111111 D.00011111

答题: A. B. C. D. (已提交)

参考答案:B

问题解析:

9.一位十六进制数可以用( )位二进制数来表示。

A. 1

B. 2

C. 4

D. 16

答题: A. B. C. D. (已提交)

参考答案:C

问题解析:

10.表示任意两位无符号十进制数需要()二进制数。

A.6 B.7 C.8 D.9

答题: A. B. C. D. (已提交)

参考答案:B

问题解析:

1. 数字电路中的工作信号为()。

A.随时间连续变化的电信号B.脉冲信号

C.直流信号D.交流信号

答题: A. B. C. D. (已提交)

参考答案:B

问题解析:

2. 编码器的逻辑功能是( )。

A.把某种二进制代码转换成某种输出状态

B.将某种状态转换成相应的二进制代码

C.把二进制数转换成十进制数

D.把二进制数转换成十六进制数

答题: A. B. C. D. (已提交)

参考答案:B

问题解析:

3. 用n位二进制数为信息编码,最多可以有()个编码。

A.2n-1 B.2n C.2n+1 D.n2

答题: A. B. C. D. (已提交)

参考答案:B

问题解析:

4. 为35个信息编码,至少需要()位二进制代码。

A.4 B.5 C.6 D.7

答题: A. B. C. D. (已提交)

参考答案:C

问题解析:

5. n位二进制数对应的最大十进制数为( )。

A.2n+1-1 B.2n-1 C.2n D.2n-1-1

答题: A. B. C. D. (已提交)

参考答案:B

问题解析:

1. 逻辑代数是研究逻辑关系的主要数学工具,逻辑代数中变量的取值是( )。A.“0”和“1”两个值B.0~9中的任意值

C.普通代数中的任何值D.0~7中的任意值

答题: A. B. C. D. (已提交)

参考答案:A

问题解析:

2. 组合逻辑电路的输出与输入的关系可直观用()描述。

A.真值表 B. 流程表C.逻辑表达式 D. 状态图

答题: A. B. C. D. (已提交)

参考答案:A

问题解析:

3. 逻辑函数的表示方法中具有唯一性的是()。

A.真值表和卡诺图

B.表达式和逻辑图

C.逻辑图卡诺图

D.卡诺图和表达式

答题: A. B. C. D. (已提交)

参考答案:A

问题解析:

4. 逻辑变量的取值1和0可以表示()。

A.开关的闭合、断开

B.电位的高、低

C.真与假

D.以上者可以

答题: A. B. C. D. (已提交)

参考答案:D

问题解析:

5. 当逻辑函数有n个变量时,共有()个变量取值组合?

A. n

B. 2n

C. n2

D. 2n

答题: A. B. C. D. (已提交)

参考答案:D

问题解析:

6. 当逻辑函数有n个变量时,在列真值表时应有()行。

A. n

B. 2n

C. n2

D. 2n

答题: A. B. C. D. (已提交)

参考答案:D

问题解析:

7. 如图所示逻辑电路的逻辑式为( )。

答题: A. B. C. D. (已提交)

参考答案:A

问题解析:

8. 描述逻辑电路的真值表如表1-1所示,则其逻辑表达式是F=()。

A.AB B.A+B

C.B+A D.AB+BA

答题: A. B. C. D. (已提交)

参考答案:C

问题解析:

9. 符合如表所示真值表的逻辑运算是F等于()

答题: A. B. C. D. (已提交)

参考答案:C

问题解析:

10.

答题: A. B. C. D. (已提交)

1. 根据基本逻辑电路图,输出F为0的逻辑输入组合AB为()。

A. 00

B. 01

C. 10

D. 11

答题: A. B. C. D. (已提交)

参考答案:A

问题解析:

2. 根据基本逻辑电路图,输出F为1的逻辑输入组合AB为()。

A. 00

B. 01

C. 10

D. 11

答题: A. B. C. D. (已提交)

参考答案:D

问题解析:

3. 根据基本逻辑电路图,输入A=1,B=1时,则F为()。

A. 0

B. 1

C. 2

D. 不确定

答题: A. B. C. D. (已提交)

参考答案:B

问题解析:

4. 下列四种类型的逻辑门中,可以用()实现三种基本运算。

A. 与门

B. 或门

C. 非

门 D. 与非门

答题: A. B. C. D. (已提交)

参考答案:D

问题解析:

5. 设两输入或非门的输入为x和y,输出为z ,当z为高电平时,有()。A.x和y同为高电平;B.x为高电平,y为低电平;

C.x为低电平,y为高电平;D.x和y同为低电平.

答题: A. B. C. D. (已提交)

参考答案:D

问题解析:

6. 设两输入与非门的输入为x和y,输出为z ,当z为低电平时,有()。A.x和y同为高电平;B.x为高电平,y为低电平;

C.x为低电平,y为高电平;D.x和y同为低电平.

答题: A. B. C. D. (已提交)

参考答案:A

问题解析:

7. 在()输入情况下,“与非”运算的结果是逻辑0。

A.全部输入是0 B.任一输入是0

C.仅一输入是0

D.全部输入是1

答题: A. B. C. D. (已提交)

参考答案:D

问题解析:

8. 在()种输入情况下,“或非”运算的结果不是逻辑0。

A.全部输入是0 B.全部输入是1

C.任一输入为0,其他输入为1

D.任一输入为1

答题: A. B. C. D. (已提交)

参考答案:A

问题解析:

9. 只有输入变量A、B全为1时,输出F=1,则其输入与输出的关系是()。A.与B.或C.与非D.或非

答题: A. B. C. D. (已提交)

参考答案:A

问题解析:

10. 只有输入变量A、B不同时,输出F=1,则其输入与输出关系是()。

A.与B.异或C.或非D.与非

答题: A. B. C. D. (已提交)

参考答案:B

1.

答题: A. B. C. D. (已提交)

参考答案:A

问题解析:

2.

答题: A. B. C. D. (已提交)

参考答案:A

问题解析:

3.以下表达式中符合逻辑运算法则的是()。

A.C·C=C2

B.1+1=10

C.0<1

D.A+1=1

答题: A. B. C. D. (已提交)

参考答案:D

问题解析:

4.下面逻辑式中,正确的是()。

A.A·A=0 B.A+A=0 C.A+A=1

D.A·A=A

答题: A. B. C. D. (已提交)

参考答案:D

问题解析:

5.下列逻辑式中,正确的是()。

A.A(A+B)=B B.A·(A+B)=AB C.A·(A+B)=A D.(A+B)·(A+D)=A+BC

答题: A. B. C. D. (已提交)

参考答案:C

问题解析:

6. A+BC=()

A .A+

B B.A+

C C.(A+B)(A+C) D.B+C

答题: A. B. C. D. (已提交)

参考答案:C

问题解析:

7.逻辑表达式(A+B)·(A+C)=( )。

A.AB+AC B.A+BC C.B+AC D.C+AB

答题: A. B. C. D. (已提交)

参考答案:B

问题解析:

8.

答题: A. B. C. D. (已提交)

参考答案:B

问题解析:

9.

A.AB B.A+B C.A

D.B

答题: A. B. C. D. (已提交)

参考答案:B

问题解析:

10.逻辑函数F= =()

A.B

B.A

C.

D.

A. B. C.

本次练习有16题,你已做16题,已提交16题,其中答对14题。

A. B. C.

A. B. C.

A. B. C.

A. B. C.

答题: A. B. C. D. (已提交)

参考答案:C

问题解析:

6. A+BC=()

A .A+

B B.A+

C C.(A+B)(A+C) D.B+C

答题: A. B. C. D. (已提交)

参考答案:C

问题解析:

7.逻辑表达式(A+B)·(A+C)=( )。

A.AB+AC B.A+BC C.B+AC D.C+AB

答题: A. B. C. D. (已提交)

参考答案:B

问题解析:

8.

答题: A. B. C. D. (已提交)

参考答案:B

问题解析:

9.

A.AB B.A+B C.A

D.B

答题: A. B. C. D. (已提交)

参考答案:B

问题解析:

10.逻辑函数F= =()

A.B

B.A

C.

D.

答题: A. B. C. D. (已提交)

参考答案:A

本次练习有16题,你已做16题,已提交16题,其中答对14题。

当前页有6题,你已做6题,已提交6题,其中答对5题。

A. B. C.

A. B. C.

A. B. C.

A. B. C.

A. B. C.

A. B. C.

本次练习有2题,你已做2题,已提交2题,其中答对1题。

当前页有2题,你已做2题,已提交2题,其中答对1题。

A. B. C.

A. B. C.

本次练习有11题,你已做11题,已提交11题,其中答对9题。

A. B. C.

A. B. C.

A. B. C.

数字逻辑课程三套作业及答案

数字逻辑课程三套作业及答 案 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

数字逻辑课程作业_A 一、单选题。 1.(4分)如图x1-229 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第五章 解析第五章译码器 2.(4分)如图x1-82 (C)。 A. (A) B. (B) C. (C) D. (D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 3.(4分)N个触发器可以构成最大计数长度(进制数)为(D)的计数器。 A. N B. 2N C. N2次方 D. 2N次方 知识点:第九章 解析第九章计数器

4.(4分)n个触发器构成的扭环型计数器中,无效状态有(D)个。 A. A. n B. B.2n C. C.2n-1 D. D.2n-2n 知识点:第九章 解析第九章集成计数器 5.(4分)如图x1-293 (A)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 6.(4分)如图x1-317 (D)。 A. (A) B. (B) C. (C) D. (D)

知识点:第二章 解析第二章其他复合逻辑运算及描述 7.(4分)EPROM是指(C)。 A. A、随机读写存储器 B. B、只读存储器 C. C、光可擦除电可编程只读存储器 D. D、电可擦可编程只读存储器 知识点:第十章 解析第十章只读存储器 8.(4分)如图x1-407 (B)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 9.(4分)为实现将JK触发器转换为D触发器,应使(A)。

数字逻辑第五章课后习题答案

数字逻辑第五章课后习题答案 5-1、解:(1) 列出电路的激励函数和输出函数表达式: 1111J K CP CP ==??=? 22321,1J Q K CP Q ?==??=?? 323331 ,1 J Q Q K CP Q ?==?? =?? Q 1n+1); Q 2n+1); Q 3 n+1) (2) (4) 功能描述:由状态图可知,此电路为一带自启动能力的六进制计数器。 1 2 3 4 5 6 7 8 CP Q 1 Q 2 Q 3 时间图

5-2、解:表5.29所示为最小化状态表,根据状态分配原则,无“列”相邻(行相邻在脉冲异步时序电路中不适用。),在“输出”相邻中,应给AD、AC分配相邻代码。取A为逻辑0,如下卡诺图所示,状态赋值为:A=00,B=11;C=01;D=10。于是,二进制状态表 如下,根据D触发器的激励表可画出CP2、D2、CP1、D1、Z的卡诺图, 二进制状态表 状态编码 D触发器的激励表

5-3、解: 原始状态图 5-4、解:(1)写出电路的激励函数和输出函数表达式: Y 2=x 2+x 12x 1(2)作状态流程表: (3)作时间图:

设输入状态x2x1的变化序列为00 01 11 10 00 10 11 01.初始总态为(x2x1,y2y1)=(00,00). 从本题的状态流程表推演出总响应序列为 总态响应序列表 x2 x1 y2 y1 Z 时间图 (4)电路功能:当输入状态x2x1的变化序列为01 11 10 00时,电路输出高电平1,其余情况输出低电平0.因此,该电平异步时序电路为01 11 10 00序列检测器。 5-5、解: 时间图如下

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

数字逻辑期末复习题

一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++= 功能相等的表达式为 ___C_____。 A . D C B A F +++= B . D C B A F +++=

C . D C B A F = D .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 Q 的频率为_____D_____。 . 100KHz D .50KHz 9.下列器件中,属于时序部件的是_____A_____。 A . 计数器 B . 译码器 C . 加法器 D .多路选择器 10.下图是共阴极七段LED 数码管显示译码器框图,若要显示字符“5”,则译码器输出a ~g 应为____C______。 A . 0100100 B .1100011 C . 1011011 D .0011011

数字逻辑心得体会(多篇范文)

数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动

了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发(请你支持)扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译码电路、奇偶检验电路、计算机i/o接口地址译码电路,设计火灾

数字逻辑考题及答案解析

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

数字逻辑自测题2

1 : (110.1)2的等值十六进制数是()(2分) A:110.1 B:15. 5 C:6. 8 D:2. 1 您选择的答案: 正确答案: C 知识点:把每四位二进制数分为一组,用等值的十六进制数表示。 ---------------------------------------------------------------------------- 2 : 两输入的与门在下列()时可能产生竞争—冒险现象(2分) A:一个输入端为0,另一个端为1 B:一个输入端发生变化,另一个端不变 C:两个不相等的输入端同时向相反的逻辑电平跳变 D:两个相等的输入端同时向相反的逻辑电平跳变 您选择的答案: 正确答案: C 知识点:门电路两个输入信号同时向相反的逻辑电平跳变的现象称为竞争 ---------------------------------------------------------------------------- 3 : 电路如下图所示,设起始状态Q2Q1=00,第3个上升沿,Q2Q1变为( ) (5分) A:00 B:01 C:10 D:11 您选择的答案: 正确答案: D 知识点:参考T触发器的特性表 ---------------------------------------------------------------------------- 4 : 逻辑函数Y(A, B, C, D)=∑m(0,2,4,6,9,13) + d(1,3,5,7,11,15)的最简与或式为()(5分) A:AD+A’D’ B:A’+D C: A+D D:A’C+AD

《数字逻辑与数字系统》期末考试试题

北京XX 大学2006——2007学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 一、选择题(每小题1分,共10分。) .卡诺图如图1所示,电路描述的逻辑表达式F=( )。 A. ∑m(1,2,4,5,9,10,13,15) B. ∑m(0,1,3,4,5,9,13,15) C. ∑m(1,2,3,4,5,8,9,14) D. ∑m(1,4,5,8,9,10,13,15) .在下列逻辑部件中,不属于组合逻辑部件的是( )。 A. 译码器 B. 锁存器 C.编码器 D.比较器 .八路数据选择器,其地址输入端(选择控制端)有( )个。 A. 8 B. 2 C. 3 D. 4 .将D 触发器转换为T 触发器,图2所示电路的虚框 )。 A. 或非门 B. 与非门 C. 异或门 D. 同或门 图2

A. 2n B. 2n C. n D. 2n-1 6.GAL 是指( )。 A.随机读写存储器 B.通用阵列逻辑 C.可编程逻辑阵列 D. 现场可编程门阵列 7.EPROM 的与阵列( ),或阵列( )。 A. 固定、固定 B. 可编程、固定 C. 固定、可编程 D. 可编程、可编程 8.在ispLSI 器件中,GRP 是指( )。 A. 通用逻辑块 B. 输出布线区 C. 输入输出单元 D.全局布线区 9. 双向数据总线可以采用( )构成。 A.三态门 B. 译码器 C.多路选择器 D.与非门 10.ASM 流程图是设计( )的一种重要工具。 A. 运算器 B. 控制器 C.计数器 D. 存储器 二、填空题(每小题2分,共20分) 1. 图3所示加法器构成代码变换电路,若输入信号B 3B 2B 1B 0为8421BCD 码,则输出端 S 3S 2S 1S 0为______________________代码。 2. 2:4译码器芯片如图4所示。欲将其改为四路分配器使用,应将使能端G 改为 ___________________,而地址输入端A 、B 作为_________________________。 3. 门电路的输入、输出高电平赋值为逻辑_________,低电平赋值为逻辑________,这种关系为负逻辑关系。 4. 组合逻辑电路的输出只与当时的________状态有关,而与电路_______的输入状态无关。 5.译码器实现___________________译码,编码器实现___________________译码。

数字逻辑实验教案

滁州学院计算机与信息工程学院 实验课程教案 课程名称:数字电路分析与设计 授课教师:姚光顺 授课对象:11网工、计科 授课时间:20XX年2月-2012月7月 滁州学院计算机与信息工程系 20XX年2月 《数字逻辑》实验教学大纲

课程编号: 课程名称:数字逻辑 英文名称:Digital Logic 课程类型:专业基础课 课程属性:独立设课 总学时:16总学分:0.5 开设学期:2 适用专业:计算机科学与技术网络工程 先修课程:大学物理电路原理 一、实验课程简介 数字逻辑实验,是数字逻辑课程教学内容的延伸和加强。在电子产品广泛应用的前提下,对于每一个大学生,具备一定电工电子基本知识和应用能力是必不可少的。因此,数字逻辑实验教学是按在相关理论教学的基础上,根据教学实际情况所开设的重点技术基础实验课程。通过实验,可以加深学生对课程内容中重点、难点的理解,培养其动手能力。 二、实验教学目标与基本要求 本课程的作用与任务是:使学生进一步掌握数字逻辑电路的分析与设计的基本方法,了解数字逻辑物理器件的主要技术参数,以及物理设计中的制作、调试、故障诊断的基本技能。要求学生在实验原理指导下,熟悉和掌握常用中、大规模集成电路的功能和在实际中应用的方法,具备基本电路的设计能力。培养学生检查与排除电路故障、分析和处理实验结果、分析误差和撰写实验报告的能力,旨在培养学生综合运用知识能力、严谨细致的工作作风和一丝不苟的科学态度。 三、本实验课程的基本理论与实验技术知识 本实验课基于门电路、组合逻辑电路、触发器、时序逻辑电路、A/D和D/A转换的基本理论而设定。 四、实验方法、特点与基本要求 实验分为实验预习、实验操作、实验总结三个步骤: 1、实验预习 1) 明确实验目的,理解实验原理; 2) 了解实验环境; 3) 了解实验方法,拟定实验的操作步骤; 2、实验操作 1) 建立实验环境,进行实验操作,培养实践动手能力 2) 实验过程中认真观察实验现象,详细记录实验结果 3) 实验结束前,整理好实验设备,经指导教师验收方可退出实验室 3、实验总结 通过对实验记录的整理,以加深对所学理论知识的理解,不断总结、积累经验,从而提高动手能力。

数字逻辑课后题讲解

第二章 组合逻辑 下图所示为两种十进制数代码转换器,输入为余三码,输出为什么代码? 解: 这是一个余三码 至8421 BCD 码转换的电路 已知输入信号A,B,C,D 的波形如下图所示,选择适当的集成逻辑门电路,设计产生输出 F 波形的组合电路(输入无反变量) 解: 列出真值表如下: W= AB+ACD X = BC+BD+BCD Y = CD+CD Z = D )(D C A C B A D C B D B B A F 或+++=

9. 用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;红灯亮表示有一台不正常;黄灯亮表示有两台不正常;红、黄灯全亮表示三台都不正常。列出控制电路真值表,并选出合适的集成电路来实现。 解: 设:三台设备分别为 A 、B 、C : “1”表示有故障,“0”表示无故障;红、黄、绿灯分别为Y1、Y2、Y3:“1”表示灯亮;“0”表示灯灭。据题意列出真值表如下: 于是得: 13.用一片4:16线译码器将8421BCD 码转换成余三码,写出表达式 解: C B A C B A Y C B A BC Y C B A Y ++==⊕+=⊕⊕=3) (21 )8,6,4,2,0(),,,()8,7,4,3,0(),,,()9,4,3,2,1(),,,()9,8,7,6,5(),,,(∑=∑=∑=∑=D C B A Z D C B A Y D C B A X D C B A W

设计二进制码/格雷码转换器 解:真值表 B 12A 2B 4:16线译码器 Y 6 Y 8 Y 2Y 4Y 3 Y 7Y 2Y 6

数字逻辑考试题.(优选)

数字逻辑考试题(一) 一、填空(每空1分,共17分) 1. (1011.11)B =( ) D =( )H 2. (16)D =( )8421BCD 码。 3. 三态门的输出有 输出高电平 、输出低电平 、 输出高阻态 三种状态。 4. 试举出CMOS 三个电路的优点 、 、 。 5. )(CD B B A Y +=则其对偶式Y ’为 。 6. ABC C B A C AB C B A Y ++=),,( 的最简式为Y= 。 7. 由n 位寄存器组成的扭环型移位寄存器可以构成 进制计数器。 8. 半导体存储器对存储单元的寻址一般有 和矩阵译码两种方式。 9. 一片8K ×8位的ROM 存储器有 个字,字长为 位。 10. 四位环型计数器初始状态是1000,经过5个时钟后状态为 。 11. 在RS 、JK 、T 和D 触发器中, 触发器的逻辑功能最多。 12. 设一个包围圈所包围的方格数目为S ,消去的变量数目为N ,那么S 与N 的关系式应是 。 13. 在卡诺图化简逻辑函数时,圈1求得 的最简与或式,圈0求得 的最简与或式。 二、选择(5分) 1. DE BC A Y +=的反函数为Y =( )。 A. E D C B A Y +++?= B. E D C B A Y +++?= C. )(E D C B A Y +++?= D. )(E D C B A Y +++?= 2. 下列哪个元件是CMOS 器件( )。 A. 74S00 B. 74LS00 C. 74HC00 D. 74H00 3. 十进制数25用8421BCD 码表示为( )。 A. 10101 B. 0010 0101 C. 100101 D. 10101 4. 若用1表示高电平,0表示低电平,则是( )。 A. 正逻辑 B. 负逻辑 C. 正、负逻辑 D. 任意逻辑 5. 下逻辑图的逻辑表达式为( )。

数字逻辑课程设计

《数字逻辑课程设计》 实验报告书 专业班级计算机科学与技术074班 学号 姓名 指导教师徐国雄 安徽工业大学计算机学院

数字逻辑课程设计之数字钟 一.设计目的 1.掌握各类计数器及将它们相连的方法; 2.掌握多个数码管动态显示的原理与方法; 3.掌握用FPGA技术的层次化设计方法; 4.进一步掌握用VHDL硬件描述语言的设计思想; 5.了解有关数字系统的设计。 二.设计要求 1、显示时、分、秒的十进制数字显示,采用24小时制; 2、校时功能; 三.选用器材 EDA-V试验箱 四.原理分析和电路设计 1.时钟脉冲 时钟脉冲有EDA-V试验箱上的时钟脉冲提供,分别对计时电路和扫描 LED电路提供时钟脉冲信号。 2.计数器 计数器采用4位二进制同步加法计数器74LS161,如下图所示。分别对秒 钟、分钟和时钟进行控制。当74161的两个使能端输入为高电平,同时 LDN和CLRN端为高电平时,输入时钟脉冲时,计数器会在时钟脉冲上升沿的作用下进行循环加一运算,正好符合电子钟在正常计时时的工作特点;当CLRN端为低电平时,无需时钟脉冲的作用,计数器的输出将自动变为0,这就是所谓的异步清零,这个功能可以提供我们当秒钟的后半部分到达10需要返回到0时,将其置为0的功能;当CLRN端为高电平,LDN端为低电平时,在时钟脉冲的上升沿的推动下,计数器的输出将直接由输入决定,这可以满足我们设置时间的要求。 3.译码显示电路 译码显示采用自己定义的两个用AHDL语言描述的自定义元件半字节分离器和扫描显示电路驱动DELED 。 图像分别如下: 描述文件如下: Half_byte:

SUBDESIGN half_byte ( din[23..0]:input; s[2..0]:input; dout[3..0]:output; ) begin if s[2..0]==0 then dout[3..0]=din[3..0]; end if; if s[2..0]==1 then dout[3..0]=din[7..4]; end if; if s[2..0]==2 then dout[3..0]=din[11..8]; end if; if s[2..0]==3 then dout[3..0]=din[15..12]; end if; if s[2..0]==4 then dout[3..0]=din[19..16]; end if; if s[2..0]==5 then dout[3..0]=din[23..20]; end if; end; DELED: SUBDESIGN deled ( num[3..0]:INPUT; a,b,c,d,e,f,g:OUTPUT; ) BEGIN TABLE num[3..0]=>a,b,c,d,e,f,g; H"0" =>1,1,1,1,1,1,0; H"1" =>0,1,1,0,0,0,0; H"2" =>1,1,0,1,1,0,1; H"3" =>1,1,1,1,0,0,1; H"4" =>0,1,1,0,0,1,1; H"5" =>1,0,1,1,0,1,1; H"6" =>1,0,1,1,1,1,1; H"7" =>1,1,1,0,0,0,0; H"8" =>1,1,1,1,1,1,1; H"9" =>1,1,1,1,0,1,1; H"A" =>0,0,1,1,1,1,1; H"B" =>0,0,1,1,1,1,1; H"C" =>1,0,0,1,1,1,0; H"D" =>0,1,1,1,1,0,1; H"E" =>1,0,0,1,1,1,1; H"F" =>1,0,0,0,1,1,1; END TABLE; END; 半字节分离器的SEL[0..24]端输入的分别是时分秒的8421码的输入端口,SE[0..2]端输入的是从一个74161输入的选择信号,依次扫描六个LED灯。 2

数字逻辑习题及答案.

数字逻辑习题及答案 一. 填空题 1.一个触发器有Q和Q两个互补的输出引脚,通常所说的触发器的输出端是指 Q ,所谓置位就是将输出端置成 1 电平,复位就是将输出端置成 0 电平。 2.我们可以用逻辑函数来表示逻辑关系,任何一个逻辑关系都可以表示为逻辑函数的与或表达式,也可表示为逻辑函数的或与表达式。 3.计数器和定时器的内部结构是一样的,当对不规则的事件脉冲计数时,称为计数器,当对周期性的规则脉冲计数时,称为定时器。 4.当我们在计算机键盘上按一个标为“3”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为 33H 。 5.在5V供电的数字系统里,所谓的高电平并不是一定是5V,而是有一个电压范围,我们把这个电压范围称为高电平噪声容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平噪声容限。 二. 选择题 1.在数字系统里,当某一线路作为总线使用,那么接到该总线的所有输出设备(或器件)必须具有 b 结构,否则会产生数据冲突。 a. 集电极开路; b. 三态门; c. 灌电流; d. 拉电流2.TTL集成电路采用的是 b 控制,其功率损耗比较大;而MOS 集成电路采用的是 a 控制,其功率损耗比较小。 a. 电压; b.电流; c. 灌电流; d. 拉电流 3.欲将二进制代码翻译成输出信号选用 b ,欲将输入信号编成二进制代码选用 a ,欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用 c ,

欲实现两个相同位二进制数和低位进位数的相加运算选用 e 。 a. 编码器; b. 译码器; c. 多路选择器; d. 数值比较器; e. 加法器; f. 触发器; g. 计数器; h. 寄存器 4. 卡诺图上变量的取值顺序是采用 b 的形式,以便能够用几何 上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII 码; d. 十进制码 5. 根据最小项与最大项的性质,任意两个不同的最小项之积为 0 ,任意两个不同的最大项之和为 1 。 a. 不确定; b. 0 ; c. 1 三. 简答题 1.分别写出(或画出)JK 、D 、T 和T ’四个触发器的特征方程、真 值表和状态转换图。 2.请分别完成下面逻辑函数的化简。 1). )DE C B A (*)E D )(C B A (F ++++++= 答:原式)DE C B A (*)]E D ()C B A ([+++++++= )DE )C B A ((*))DE )C B A ((++++++=)) C B A ()C B A ((DE DE )C B A ()C B A (+++++++++++= DE = 2). )EH D B A )(B A )(C A )(C B A (F +++++++= 答:原式的对偶式为: ) H E (ABD AB AC C AB 'F ++++= ))H E (BD B C C B (A ++++=)] H E (BD B B C [A ++++==A A )'A ()''F (===∴原式 3.请分别说明A/D 与D/A 转换器的作用,说明它们的主要技术指标, 并进一步说明在什么情况下必须在A/D 转换器前加采样·保持电路。 答:A/D 与D/A 转换器分别能够将模拟量转换成数字量与数字量转换 成模拟量,通过这样的转换电路,能够将模拟系统和数字系统联

数字逻辑(第六版 白中英)课后习题

第四章习题答案1.设计4个寄存器堆。 解: 寄存器组 2. 设计具有4个寄存器的队列。 解: 输入数据输出数据 3.设计具有4个寄存器的堆栈 解:可用具有左移、右移的移位寄存器构成堆栈。

栈顶 SR 1 SR 2 SR 3 输入数据 输出数据 压入弹出 4.SRAM 、DRAM 的区别 解:DRAM 表示动态随机存取存储器,其基本存储单元是一个晶体管和一个电容器,是一种以电荷形式进行存储的半导体存储器,充满电荷的电容器代表逻辑“1”,“空”的电容器代表逻辑“0”。数据存储在电容器中,电容存储的电荷一般是会慢慢泄漏的,因此内存需要不时地刷新。电容需要电流进行充电,而电流充电的过程也是需要一定时间的,一般是0.2-0.18微秒(由于内存工作环境所限制,不可能无限制的提高电流的强度),在这个充电的过程中内存是不能被访问的。DRAM 拥有更高的密度,常常用于PC 中的主存储器。 SRAM 是静态的,存储单元由4个晶体管和两个电阻器构成,只要供电它就会保持一个值,没有刷新周期,因此SRAM 比DRAM 要快。SRAM 常常用于高速缓冲存储器,因为它有更高的速率; 5. 为什么DRAM 采用行选通和列选通 解:DRAM 存储器读/写周期时,在行选通信号RAS 有效下输入行地址,在列选通信号CAS 有效下输入列地址。如果是读周期,此位组内容被读出;如果是写周期,将总线上数据写入此位组。由于DRAM 需要不断刷新,最常用的是“只有行地址有效”的方法,按照这种方法,刷新时,是在RAS 有效下输入刷新地址,存储体的列地址无效,一次选中存储体中的一行进行刷新。每当一个行地址信号RAS 有效选中某一行时,该行的所有存储体单元进行刷新。 6. 用ROM 实现二进制码到余3码转换 解: 真值表如下: 8421码 余三码 B 3B 2 B 1 G 3G 2G

《数字逻辑与数字系统》期末考试试题(A)

北京邮电大学2008——2009学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 考试注意事项 一、学生参加考试须带学生证或学院证明,未带者不准进入考场。学生必须按照监考教师指定座位就坐。 二、书本、参考资料、书包等物品一律放到考场指定位置。 三、学生不得另行携带、使用稿纸,要遵守《北京邮电大学考场规则》,有考场违纪或作弊行为者,按相应规定严肃处理。 四、学生必须将答题内容做在试题答卷上,做在草稿纸上一律无效。 五、学生的姓名、班级、学号、班内序号等信息由教材中心统一印制。 考试 课程 数字逻辑与数字系统 考试时间 2009年1月13日 题号 一 二 三 四 五 六 七 八 总分 满分 10 20 10 10 10 12 14 14 得分 阅卷 教师 一、选择题(每小题1分,共10分。) 1. )D C B (B )B A (A F ++++==( ) A . B B . A+B C . 1 D .AB 2.同步时序电路和异步时序电路比较,其差异在于后者( ) A . 没有稳定状态 B . 没有统一的时钟脉冲控制 C . 输入数据是异步的 D . 输出数据是异步的 3.(10000011)8421BCD 的二进制码为( )。 A .( 10000011)2 B .(10100100)2 C . (1010011)2 D . (11001011)2 4. 74LS85为四位二进制数据比较器。如果只进行4位数据比较,那么三个级联输入端ab 、a=b 应为( )。 A . ab 接地,a=b 接地 B . ab 接高电平,a=b 接高电平 C . ab 接高电平,a=b 接地

数字逻辑试题及答案

期数字逻辑试题A 一、填空题(共15分,每空1分) 1、分别写出逻辑函数的五种表示方法()、()、()、()、()。2、数字逻辑电路的两大种类分别是()电路,()电路。 3、( )和( )是衡量A/D转换器D/A转换器性能优劣的主要指标。 4、消除竞争冒险的4种方法分别是()、()、()、()。 5、555定时器电路提供了一个复位电平为()和置位电平为()。 二、选择题(共10分,每题5分) 1、将(175)10十进制数转换为二进制数下列选择结果中正确的是()。 A、二进制数(11010111)2 B、二进制数(10110011)2 C、二进制数(10011010)2 D、二进制数(1010111 1)2 2、运用摩根定理变换Y=X Q Z 的表达式,下列选择中正确的是()。 A、Y=( X + Q + Z ) B、Y=(X + Q +Z) C、Y=(X + Q + Z) D、Y=( X + Q + Z ) 三、综合题(共20分,每题10分) 1、对如图电路分别写出E = 0 或E = 1 时,函数F 的逻辑表达式。 2、用图形法化简函数为最简与或式 F(A,B,C,D)=∑M(0,1,2,3,6,8)+∑D(10,11,12,13,14,15) 四、组合逻辑电路分析、设计题(共25分,1题10分,2题15分) 1、写出下图电路(电路由四个或非门组成)输出信号的逻辑表达式,并说明其功能。 F

2、用集成译码器74LS138实现下列组合逻辑函数 Z1=AB+AB+BC Z2=AB+BC+CA 30分,每题15分) 1、一个时序逻辑电路如下图所示(由两个D触发器组成),请画出其状态图。 CP 2、用JK触发器设计一个同步、上升沿触发的四进制计数器。状态图如下 /0 /0 /0 /1

数字逻辑习题参考解答

第6章习题参考解答 6-3 画出74x27三输入或非门的德摩根等效符号。 解:图形如下 6-10 在图X6.9电路中采用74AHCT00替换74LS00,利用表6-2的信息,确定从输入端到输出端的最大时间延迟。 解:该图中从输入到输出需要经过6个NAND2; 每个NAND2(74AHCT00)的最大时间延迟为9 ns; 所以从输入端到输出端的最大时间延迟为:54 ns。 6-31 BUT门的可能定义是:“如果A1和B1为1,但A2或B2为0,则Y1为1;Y2的定义是对称的。”写出真值表并找出BUT门输出的最小“积之和”表达式。画出用反相门电路实现该表达式的逻辑图,假设只有未取反的输入可用。你可以从74x00、04、10、20、30组件中选用门电路。 解:真值表如下 A1 B1 A2 B2 Y1 Y2 A1 B1 A2 B2 Y1 Y2 0 0 0 0 0 0 1 0 0 0 0 0 0 0 0 1 0 0 1 0 0 1 0 0 0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 1 0 1 1 0 1 1 0 1 1 0 0 0 0 1 1 0 0 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 1 0 0 0 1 1 1 0 1 0

0 1 1 1 0 1 1 1 1 1 0 0 利用卡诺图进行化简,可以得到最小积之和表达式为 Y1=A1·B1·A2’+A1·B1·B2’ Y2=A1’·A2·B2+B1’·A2·B2Y 2 采用74x04得到各反相器 采用74x10得到3输入与非 采用74x00得到2输入与非 实现的逻辑图如下: 6-32 做出练习题6-31定义的BUT 门的CMOS 门级设计,可以采用各种反相门逻辑的组合(不一定是二级“积之和”),要求使用的晶体管数目最少,写出输出表达式并画出逻辑图。 解:CMOS 反相门的晶体管用量为基本单元输入端数量的2倍; 对6-31的函数式进行变换: ()()()()'2211'2'211'211'2111B A B A B A B A B B A A B A Y ???=+??=??+??= ()()()()'1122'1'122'122'1222B A B A B A B A B B A A B A Y ???=+??=??+??= 利用圈-圈逻辑设计,可以得到下列结构: ()()()'''22'111B A B A Y ?+?= ()()()'''11'222B A B A Y ?+?=

数字逻辑期末考试题

数字逻辑考试题 数字逻辑考试题(一) 一、填空(共17分,每空1分) 1. (1011.11)B =( ) D =( )H 2. (16)D =( )8421BCD 码。 3. 三态门的输出有 、 、 三种状态。 6. ABC C B A Y =),,( 的最简式为Y= 。 7. 由n 位寄存器组成的扭环型移位寄存器可以构成 进制计数器。 10. 四位环型计数器初始状态是1000,经过5个时钟后状态为 。 11. 在RS 、JK 、T 和D 触发器中, 触发器的逻辑功能最多。 12. 设一个包围圈所包围的方格数目为S ,消去的变量数目为N ,那么S 与N 的关系式应是 。 13. 在卡诺图化简逻辑函数时,圈1求得 的最简与或式,圈0求得 的最简与或式。 二、选择(共10分,每题1分) 1. DE BC A Y +=的反函数为Y =( )。 A. E D C B A Y +++?= B. E D C B A Y +++?= C. )(E D C B A Y +++?= D. )(E D C B A Y +++?= 3. 十进制数25用8421BCD 码表示为( )。 A. 10101 B. 0010 0101 C. 100101 D. 10101 4. 若用1表示高电平,0表示低电平,则是( )。 A. 正逻辑 B. 负逻辑 C. 正、负逻辑 D. 任意逻辑 5. 下逻辑图的逻辑表达式为( )。 A. AC BC AB Y = B. BC AC AB Y ++= C. BC AC AB Y ++= D. BC AC AB Y = 6. 三态门的逻辑值正确是指它有( )。 A. 1个 B. 2个 C. 3个 D. 4个 9. 组合逻辑电路在电路结构上的特点下列不正确的是( )。 A. 在结构上只能由各种门电路组成 B. 电路中不包含记忆(存储)元件 C. 有输入到输出的通路 D. 有输出到输入的反馈回路 10. 已知74LS138译码器的输入三个使能端(E 1=1,022==B A E E )时,地址码A 2A 1A 0=011,则输 出07~Y Y 为( )。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 三 、简答题(共15分,每题5分)

数字逻辑自测题5

数字逻辑自测题5

1 : VOL表示什么含义(2分) A:输出低电平 B:输入高电平 C:输出高电平 D:输入低电平 您选择的答案: 正确答案: A 知识点:O即output,表示输出;L即low,表示低电平 ---------------------------------------------------------------------------- 2 : 下列说法不正确的是()(2分) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 您选择的答案: 正确答案: D 知识点:一个逻辑函数的最简与或式形式不是唯一的 ---------------------------------------------------------------------------- 3 : 二—十进制译码器输入为()(2分) A:BCD代码 B:三位二进制数 C:十进制数 D:二十进制数 您选择的答案: 正确答案: A 知识点:二—十进制译码器的逻辑功能是将输入BCD码的10个代码译成10个高、低电平输出信号---------------------------------------------------------------------------- 4 : 触发器的状态转换图如下,则它是:()(5分) A:T触发器 B:SR触发器 C:JK触发器 D:D触发器 您选择的答案: 正确答案: A 知识点:见T触发器状态转换图

相关文档
最新文档