数字电路复习指导(有答案)

数字电路复习指导(有答案)
数字电路复习指导(有答案)

第一章逻辑代数基础

一、本章知识点

1.数制及不同数制间的转换

熟练掌握各种不同数制之间的互相转换。

2.码制定义、码的表示方法

BCD码的定义,常用BCD码特点及表示十进制数的方法。

3.原码、反码、补码的表示方法

正数及负数的原码、反码、补码。

4.逻辑代数的基本公式和常用公式

掌握逻辑代数的基本公式和常用公式。

5.逻辑代数的三个基本定理

定义,应用

6.逻辑函数的表示方法及相互转换

7.逻辑函数最小项之和的标准形式

8.逻辑函数的化简

公式法化简逻辑函数

卡诺图法化简逻辑函数的基本原理及化简方法

二、例题

数制转换

1. 10= ( )2 =( )8=( )16

2. 16=( )2=( )10

3. 2=( )8=( )10

写出下列数的八位二进制数的原码、反码、补码

原码,就是用最高位表示数符(0表示正数、1表示负数)。正数,原码=反码=补码;负数,反码:除符号位以外,对原码逐位取反;补码:反码+1

1.(-35)10= ( )原码= ()反码=()补码

2. (+35)10 = (00100011 )原码= (00100011)反码=(00100011)补码

3. (-110101)2 = ( )原码= ()反码=()补码

4. (+110101)2 = (00110101 )原码= (00110101)反码=(00110101)补码

5. (-17)8=( )原码= ()反码=()补码

. 将下列三位BCD 码转换为十进制数

根据BCD 码的编码规则,四位一组展成对应的十进制数。

1. ()余3码 = (263)10

2. ()8421码= (596)10

分别求下列函数的对偶式Y ‘和反函数Y 1. D C B A Y ++=)( D C B A Y ?+?=)('

D C B A Y ?+?=)( 2. D A C B A Y ++=

)()('D A C B A Y +??+=

D C B A Y ?+?=)(

求下列函数的与非-与非式。 1. B A AB Y +=

B A AB Y ?=

将下列函数展成最小项之和的标准形式 1. Y=C B B A ?+?

C

B A

C B A C B A C

B A

C B A C B A C B A A A C B C C B A Y ??+??+??=??+??+??+??=+??++??=)()( 2. Q R S Y +=

SRQ Q SR Q R S Q R S Q R S S S Q R Q Q R R S Q R S Y ++++

=++++=+=)

())((

用公式法化简下列函数

1. C AB C B BC A AC C B A Y +++=),,( C

C AB C C

AB B B A A C C AB C B BC A AC C B A Y =+=+++=+++=)(),,(

2. D D C C B C A AB Y ++++= 1

1)()()(=++=++++=++++=++++=D C D

C B A AB D

C C B C A AB

D D C C B C A AB Y 用卡诺图化简下列逻辑函数

1. ∑=)15,14,13,12,11,10,6,5,4,2(),,,(m D C B A Y

D C AC C B Y ++=

2. ∑∑+=)11,9,8,3,1,0()15,12,7,6,4,2(),,,(d m D C B A Y

Y+

+

=

C

D

C

A

CD

3. 0:)

9,8,7,5,2,1,0(),,,(=+=∑AC AB m D C B A Y 约束条件

第二章门电路

一、本章重点

1.各类门电路的符号及功能;

2.TTL电路的外特性及其应用

3.CMOS电路的外特性及其应用

二、本章知识点

(一) 基本概念

1、熟记各种功能门电路的逻辑符号。

2、熟记TTL、CMOS门的主要电气参数(高低电平的典型值、转折电压值)。

3、正确理解噪声容限的概念。

4、正确理解哪些TTL门电路可以将输出端并联使用。

5、正确理解门电路多余输入端的处理方法(应该接什么逻辑电平)。

6、熟练掌握TTL门电路输入端的负载特性,开门电阻值、关门电阻值,会判断输入

端在接不同负载电阻时所对应的相应逻辑值。

7、熟练掌握TTL门电路的输入端电压电流关系特性(在输入高、低电平时相应的电

流方向及大小)。

8、熟练掌握TTL门电路的输出端电压电流关系特性(在输出高、低电平时相应的电

流方向及大小)。

9、会判断负逻辑的门电路转换成正逻辑时门电路新的逻辑功能。

10、会比较TTL电路系列产品(74、74H、74S、74LS)的性能(工作速度、功耗)。

11、熟记集电极开路门、三态门、CMOS传输门的功能及逻辑符号。

12、正确理解集电极开路的门电路(OC门)使用时时需要外接电源和限流电阻,输出

端能并联使用实现“线与”的工作特点。

13、会根据使能端逻辑值判断三态门的工作状态,会根据控制端逻辑值判断CMOS传

输门的工作状态。

14、正确理解CMOS传输门输入、输出端可以互换使用、实现数据双向传输的特点;

CMOS传输门又称为电子模拟开关,可用来传输连续变化的模拟电压信号,正确理解其电路的基本组成。

(二) 简要分析

熟练掌握各种功能门电路的逻辑功能。熟练掌握TTL门电路输入端的负载特性、输入/输出端的电压电流关系特性,会判断各种情况下输入端的逻辑值。熟练掌握集电极开路门的线与结构、三态门工作状态的判断、CMOS传输门工作状态的判断。

在掌握以上知识点的前提下,具备以下分析能力:

1、根据各种门电路的给定接法,写出相应的输出逻辑表达式。

2、根据各种门电路的给定接法,求出相应的输出逻辑值。

3、根据各种门电路的给定接法、及输入波形,画出相应的输出波形。

4、分析给定的各种门电路的接法,指出电路中存在的问题并改正。

三、例题

1.指出下图中由TTL门电路组成的逻辑电路的输出是什么(高电平、低电平、高阻)

解:Y1= 低电平 Y2= 高电平 Y3= 高阻 Y4= 高电平

2. 已知图示TTL门电路的输入端波形,试分别画出Y1、Y2、Y3、Y4的输出波形。

解:波形如图所示

3.下图电路均由TTL 门组成,R ON =2K ,R OFF =,试分别写出输出函数的表达式。

解:0011=+?+?=B C A Y

D C B A Y +?+=2

C AB C B C A C AB C AB C AB Y ++=+=⊕=3

4.已知CMOS 逻辑电路如图所示,试写出输出逻辑函数Y1、Y2的表达式。

解:A Y =1

C B AC Y +=2

5.TTL门电路如图所示。

(1)图中多余输入端B应接。

(2)为使图中电路F1=f(A,C)正常工作,该电路是否还有错误为什么如有错误,请改正。在上述(1)、(2)问题解决后:

(3)如A=1、C=0,1门输出Y ,F1= ;

如A=1、C=1,1门输出Y ,F1= ;

解:

(1)图中多余输入端B应接低电平。

(2)或非门输入端通过10K电阻接地,相当于常接高电平,封锁了或非门,使它出低电平,与A、C无关了。因此,为使图中电路F1=f(A,C)正常工作,该电路确实有错误。

改正:把10K电阻改换为小于700Ω的电阻即可。

(3)如A=1、C=0,1门输出Y 0 ,F1= 1 ;

如A=1、C=1,1门输出Y 高阻,F1= 0 ;

6.已知逻辑电路如图所示,试分别写出Y1、Y2、Y3、Y4的输出逻辑值。

解:11=Y

02=Y

=3Y 高阻

04=Y

第三章组合逻辑电路

一、本章知识点

(一)概念

1.组合电路:电路在任一时刻输出仅取决于该时刻的输入,而与电路原来的状态无关。

电路结构特点:只有门电路,不含存储(记忆)单元。

2.编码器的逻辑功能:把输入的每一个高、低电平信号编成一个对应的二进制代码。

优先编码器:几个输入信号同时出现时,只对其中优先权最高的一个进行编码。

3.译码器的逻辑功能:输入二进制代码,输出高、低电平信号。

显示译码器:半导体数码管(LED数码管)、液晶显示器(LCD)

4.数据选择器:从一组输入数据中选出某一个输出的电路,也称为多路开关。

5.加法器

半加器:不考虑来自低位的进位的两个1位二进制数相加的电路。

全加器:带低位进位的两个 1 位二进制数相加的电路。

超前进位加法器与串行进位加法器相比虽然电路比较复杂,但其速度快。

6.数值比较器:比较两个数字大小的各种逻辑电路。

7.组合逻辑电路中的竞争一冒险现象

竞争:门电路两个输入信号同时向相反跳变(一个从1变0,另一个从0变1)的现象。

竞争-冒险:由于竞争而在电路输出端可能产生尖峰脉冲的现象。

消除竞争一冒险现象的方法:接入滤波电容、引入选通脉冲、修改逻辑设计

(二)组合逻辑电路的分析方法

分析步骤:

1.由图写出逻辑函数式,并作适当化简;

注意:写逻辑函数式时从输入到输出逐级写出。

2.由函数式列出真值表;

3.根据真值表说明电路功能。

(三)组合逻辑电路的设计方法

设计步骤:

1.逻辑抽象:

设计要求----文字描述的具有一定因果关系的事件。

逻辑要求---真值表

(1) 设定变量--根据因果关系确定输入、输出变量;

(2)状态赋值:定义逻辑状态的含意

输入、输出变量的两种不同状态分别用0、1代表。

(3)列出真值表

2.由真值表写出逻辑函数式

真值表→函数式,有时可省略。

3.选定器件的类型

可选用小规模门电路,中规模常用组合逻辑器件或可编程逻辑器件。

4.函数化简或变换式

(1)用门电路进行设计:从真值表----卡诺图/公式法化简。

(2)用中规模常用组合电路设计:把函数式变换为与所用器件函数式相似的形式。

(3)使用存储器、可编程逻辑器件设计组合电路

5.画出逻辑图

原理性设计(逻辑设计)完成。

(四)常用组合逻辑电路的功能

编码器、译码器、数据选择器、加法器、数值比较器

(五)用常用中规模集成组合逻辑器件计组合电路

1.用译码器器设计组合电路

方法:

(1)选择集成二进制译码器;

(2)写函数的标准与非-与非式;

(3)确认变量和输入关系;

(4)画连线图。

2.用数据选择器设计组合电路

方法:

(1)写出函数的标准与或式和数据选择器表达式;

(2)对照比较确定输入变量和地址码的对应关系;

输入变量可能是变量(原变量或反变量),也可能是常量(0或1)。

(3)画连线图。

3.用加法器设计组合电路--用在加(减)某一常数的场合

二、例题

1.组合电路如图所示,分析该电路的逻辑功能。

解:

(1)由逻辑图逐级写出逻辑表达式

ABC P =

CP BP AP L ++=ABC C ABC B ABC A ++=

(2)化简与变换

C

B A AB

C C B A ABC C B A ABC L +=+++=++=)((3)由表达式列出真值表

(4)分析逻辑功能

由真值表可知,当A 、B 、C 三个变量不一致时,电路输

出为“1”,所以这个电路称为“不一致电路”。 真值表

A

B C

L

2.由3线-8线译码74LS138(输出低电平有效)和4选1数据选择器(74LS153)组成如图所示的电路,B 1、B 2和C 1、C 2为二组二进制数,试列出真值表,并说明功能。

解: 输出表达式: 212101122321F D C C D C C D C C D C C =+++

021*********

Y C C Y C C Y C C Y C C =

?+?+?+? 21021210212102121021

A A A C C A A A C C A A A C C A A A C C =?+?+?+?2121212121212121

B B

C C B B C C B B C C B B C C =?+?+?+?

功能说明:

由地址码C 2C 1选择B 2B 1的最小项的反变量输出

3.设计一个监视交通信号灯工作状态的逻辑电路。正常情况下,红、黄、绿灯只有一个亮,否则视为故障状态,发出报警信号,提醒有关人员修理。

要求:(1)用门电路实现(2)用3-8线译码器实现(3)用4选1数据选择器实现。

真值表

解:

(1)用门电路实现

①逻辑抽象

输入变量:R 、A 、G ,红、黄、绿灯;灯亮为1,不亮为0。

输出变量:Z--故障信号,正常工作Z 为0,发生故障Z 为1。

列出真值表

②写出函数式并化简 Z R A G RAG RA G RAG RAG =+++

经卡诺图化简得:Z R =③画出电路图

真值表

R A G Z

0 0 0 0 0 1 0 1 0 0 1 1 1

1 &1&

&

&

1

1≥1R

G A Z

(2)用3-8线译码器实现

①标准与或式 03567 Z R A G RAG RA G RAG RAG m m m m m =++++=++++ ②化成与非-与非式 0356703567Z m m m m m m m m m m =++++=????

③设R =A 2、A =A 1、G =A 0 则03567Z Y Y Y Y Y =????

④画连线图

(3)用4选1数据选择器实现

①标准与或式 Z R A G RAG RA G RAG RAG =++++ S =1时 4选1 010*********Y D A A D A A D A A D A A =+++

②确定输入变量和地址码的对应关系

令A =A 1,G = A 0 ( )()()1Z R A G R AG R AG AG =+++? 则:0D R = 12D D R == 31D =

1

4.分别用74LS153(4选1数据选择器)和74LS152(8选1)实现函数F=AB+BC+AC 。

解:(1)用4选1数据选择器来设计

①标准与或式 F ABC ABC ABC ABC =+++

数据选择器 010*********Y D A A D A A D A A D A A =+++

②确定输入变量和地址码的对应关系

令 A 1 = A , A 0 = B 0123Y D AB D AB D AB D AB =+++

10F AB C AB C AB AB =?+?+?+?

则D 0 = 0 D 1 =D 2 = C D 3 = 1

③ 画连线图

(2)用8选1数据选择器来实现

①标准与或式 F ABC ABC ABC ABC =+++

0()0()0()1()0()1()1()1()ABC ABC ABC ABC ABC ABC ABC ABC =?+?+?+?+?+?+?+?8选1数据选择器:

02101210221032104210521062107210Y D A A A D A A A D A A A D A A A D A A A D A A A D A A A D A A A =+++++++②确定输入变量和地址码的对应关系

令A=A2,B=A1,C=A0 D3=D5=D6=D7=1D0=D1=D2=D4=0 ③画图

A

B

C

(完整版)数字电路期中考试试卷167101

2014—2015学年度《数电》期中考试试卷 班别 姓名: 学号: 题 号 一 二 三 四 五 总 分 得 分 一、 填空题(每空1分,共25分) 1、常用数制有十进制、 、 等。 2、在逻辑代数中,A+1= ;B+B = 。 3、数字电路的基本逻辑关系有 、 、 ,基本逻辑运算有 、 、 。 4、逻辑代数中的变量只有 和 两种取值。 5、(123.75)10= ( )2 6、(1010110010011)2= ( )16 7、(10110)2=( )10 8、数字电路中基本逻辑门是 、 、 。常用的复合门电路有 、 、 、 。 9、与非门实现的逻辑功能为 。异或门实现的逻辑功能是 。 10、如果把两输入与非门的两个输入端连在一起使用,它将成为一个 门。 二、 选择题(每题2分,共20分) 1、逻辑代数中的摩根定律可表示为C B A ??=( )。 A 、C B A ++ B 、A ·B · C C 、A +B +C D 、A +B ·C 2、有10101的二进制代码,表示十进制数为( )。 A 、11 B 、21 C 、25 D 、17 — 3、图中这个电路实现什么功能( ) A 、Y=1 B 、Y=0 C 、Y=A D 、Y= A 4、模拟电路与脉冲电路的不同在于( ) 模拟电路的晶体管多工作在开关状态 脉冲电路的晶体管多工作在饱和状态 模拟电路的晶体管多工作在截止状态 脉冲电路的晶体管多工作在开关状态 ≥1 A Y

5、若逻辑函数L=A+ABC+BC+B C,则L可化简为() A、L=A+BC B、L=A+C C、L=AB+B C D、L=A 6、在何种输入情况下,“或非”运算的结果是逻辑0,不正确的是( ) A.全部输入是0 B.全部输入是1 C.任一输入为0,其他输入为1 D.任一输入为1,其他输入为0。 7、.一位十六进制数可以用多少位二进制数来表示?() A.1 B.2 C.4 D. 16 8、以下表达式中符合逻辑运算法则的是() A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1 9、四位16进制数最大的数是() A.1111 B .7777 C. FFFF D 都不是 10、以下表达式中符合逻辑运算法则的是()

《数字电路》期末模拟试题及答案

- 1 - 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1=;Y 2 = ;Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____ c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

数字电路期中试卷(答案)

数字电路期中试卷(答案)

第 2 页共 11 页

第 3 页共 11 页

第 4 页 共 11 页 三、简答题 (每小题5分,共25分) 1、用真值表证明等式:)(B A ⊕⊙A C =⊙)(C B ⊕ 证明:真值表如下: 由上述真值表(的后两列)知:)(B A ⊕⊙A C =⊙)(C B ⊕ 2、用代数法化 简函数: ADCBD D C B C B ABD D ABC L +++?+=)( 解: C B AB A C B A D A C B C AD AC B C B ABD ABC D C B C B ABD ABC D C B C B ABD ABCD D ABC ADCBD D C B C B ABD D ABC L +=+=++=++=++=+++=+++++=+++?+=)()()()()( 3、用卡诺图法化简函数: ∑∑+=)96,3,21()15,1312,111075,0(),,,(,,d ,,,,m D C B A R 解:画出卡诺图并化简,得

第 5 页 共 11 页 D C B C AB B A R +++= 4、分析下列功能表,说明其功能。 解:由功能表知: (1)功能表是4线-2线优先编码器的功能表; (2)此优先编码器有1个输入使能控制端E ,高电平有效; (3)有4个输入端,优先级别从3 I 、2I 、1 I 、0 I 依次降低,且为高电平有效; (4)有1个输出指示端GS ,低电平有效; (5)有2个输出端1Y 、0 Y ,高电平有效,权值分别为2、1。 (6)优先编码器的输出为: 321I I Y +=,3 210I I I Y +=,

模拟数字电路基础知识

第九章 数字电路基础知识 一、 填空题 1、 模拟信号是在时间上和数值上都是 变化 的信号。 2、 脉冲信号则是指极短时间内的 电信号。 3、 广义地凡是 规律变化的,带有突变特点的电信号均称脉冲。 4、 数字信号是指在时间和数值上都是 的信号,是脉冲信号的一种。 5、 常见的脉冲波形有,矩形波、 、三角波、 、阶梯波。 6、 一个脉冲的参数主要有 Vm 、tr 、 Tf 、T P 、T 等。 7、 数字电路研究的对象是电路的输出与输入之间的逻辑关系。 8、 电容器两端的电压不能突变,即外加电压突变瞬间,电容器相当于 。 9、 电容充放电结束时,流过电容的电流为0,电容相当于 。 10、 通常规定,RC 充放电,当t = 时,即认为充放电过程结束。 11、 RC 充放电过程的快慢取决于电路本身的 ,与其它因素无关。 12、 RC 充放电过程中,电压,电流均按 规律变化。 13、 理想二极管正向导通时,其端电压为0,相当于开关的 。 14、 在脉冲与数字电路中,三极管主要工作在 和 。 15、 三极管输出响应输入的变化需要一定的时间,时间越短,开关特性 。 16、 选择题 2 若一个逻辑函数由三个变量组成,则最小项共有( )个。 A 、3 B 、4 C 、8 4 下列各式中哪个是三变量A 、B 、C 的最小项( ) A 、A B C ++ B 、A BC + C 、ABC 5、模拟电路与脉冲电路的不同在于( )。 A 、模拟电路的晶体管多工作在开关状态,脉冲电路的晶体管多工作在放大状态。 B 、模拟电路的晶体管多工作在放大状态,脉冲电路的晶体管多工作在开关状态。 C 、模拟电路的晶体管多工作在截止状态,脉冲电路的晶体管多工作在饱和状态。 D 、模拟电路的晶体管多工作在饱和状态,脉冲电路的晶体管多工作在截止状态。 6、己知一实际矩形脉冲,则其脉冲上升时间( )。 A 、.从0到Vm 所需时间 B 、从0到2 2Vm 所需时间 C 、从0.1Vm 到0.9Vm 所需时间 D 、从0.1Vm 到 22Vm 所需时间 7、硅二极管钳位电压为( ) A 、0.5V B 、0.2V C 、0.7V D 、0.3V 8、二极管限幅电路的限幅电压取决于( )。 A 、二极管的接法 B 、输入的直流电源的电压 C 、负载电阻的大小 D 、上述三项 9、在二极管限幅电路中,决定是上限幅还是下限幅的是( ) A 、二极管的正、反接法 B 、输入的直流电源极性 C 、负载电阻的大小 D 、上述三项 10、下列逻辑代数定律中,和普通代数相似是( ) A 、否定律 B 、反定律 C 、重迭律 D 、分配律

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

《数字电路》期末模拟试题及答案 3

1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于___ ___偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A -B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1 =______,其约束方程为:______。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___个输入 端,____输出端。 8. 下图所示电路中,Y 1 Y 3 =______。 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F * 为________。 A .()()D C B A ++ B. ()()D C B A ++ C. ()()D C B A ++ 3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B . 每个与项中含有的变量个数少 C . 化简结果具有唯一性 A 1 A B 3

5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B . AB C Y = C .C AB Y += D .C C B Y += 化简下列逻辑函数,写出最简与或表达式: 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A + ++? 分析设计题: 1.双四选一数据选择器如图所示,其功能表达式如下。现要实现八选一数据选择器的功能(地址信号为 A 2A 1A 0,数据输入端信号为 D 7 ~ D 0 ) ,请画出电路连接图。 1A A A A D Y =(2D Y =( 2.TTL

数字电子技术模拟考试试题及答案

数字电子技术模拟考试试 题及答案 Last revision on 21 December 2020

《数字电子技术》模拟试题 一、填空题(每题2分,共20分) 1、十六进制数97,对应的十进制数为 (1) 。 2、“至少有一个输入为0时,输出为 (2) ”描述的是与运算的规则。 3、 (3) 变量逻辑函数有16个最小项。 4、基本逻辑运算有: (4) 、 (5) 和 (6) 运算。 5、两二进制数相加时,不考虑低位的进位信号是 (7) 加器。 6、TTL 器件输入脚悬空相当于输入 (8) 电平。 7、RAM 的三组信号线包括: (9) 线、地址线和控制线。 8、采用四位比较器对两个四位数比较时,先比较 (10) 位。 二、单项选择题(每个3分,共15分) 1、图1的国标逻辑符号中 (11) 是异或门。 图1 2、下列逻辑函数表达式中可能存在竞争冒险的是 (12) 。 A ))((C B B A F ++= B ))((C B B A F ++= C ))((C B B A F ++= D ))((C B B A F ++= 3、下面逻辑式中,不正确的是_ (13)____。 A.C B A ABC ??= B. A AB A += C. ()A A B A += D. AB BA = 4、时序逻辑电路中必须有___(14)___。 A. 输入逻辑变量 B. 时钟信号 C. 计数器 D. 编码器 5、有S1,S2两个状态,条件 (15) 可以确定S1和S2不等价。 A. 输出相同 B. 输出不同 C. 次态相同 D. 次态不同

1、证明:B A B A A +=+(4分) 2、某逻辑函数的真值表如表1所示,画出卡诺图。(6分) 表1 某逻辑函数的真值表 A B C F 0 0 0 0 0 0 1 1 0 1 0 1 0 1 1 X 1 0 0 X 1 0 1 0 1 1 0 1 1 1 1 X 四、分析题(20分) 图2 分析图2所示电路的逻辑功能。 1)列出其时钟方程:(2分) CP1= ;CP0= 。 2)列出其驱动方程:(4分) J1= ;K1= ;J0= ;K0= 。 3)列出其输出方程:(1分) Z = 4)求次态方程:(4分) =+11n Q ;=+10n Q 5)作状态表及状态图(9分) Z

《数字电路》期末模拟考试试题及答案

数字电子电路模拟试题-2 一、填空题(共30分) 1. 三极管有NPN和PNP两种类型,当它工作在放大状态时,发射结____, 集电结______;NPN型三极管的基区是______型半导体,集电区和发射区是______型半导体。 2. 把高电压作为逻辑1,低电平作为逻辑0的赋值方法称作_______逻 辑赋值。一种电路若在正逻辑赋值时为与非门,则在负逻辑赋值时为________。 3. 四位二进制编码器有____个输入端;____个输出端。 4. 将十进制数287转换成二进制数是________;十六进制数是___ ____。 5. 根据触发器功能的不同,可将触发器分成四种,分别是____触发器、_ 6. 下图所示电路中, 7. Y 2 二、选择题(共 20分) 1. 当晶体三极管____时处于饱和状态。 A. 发射结和集电结均处于反向偏置 B. 发射结正向偏置,集电结反向偏置 C. 发射结和集电结均处于正向偏置

2. 在下列三个逻辑函数表达式中,____是最小项表达式。 A .B A B A )B ,A (Y += B. C B C B A BC A )C ,B ,A (Y ++= C. C AB ABC B C A C B A )D ,C ,B ,A (Y +++??= 3.用8421码表示的十进制数45,可以写成__________ A .45 B. [101101]BCD C. [01000101]BCD D. [101101]2 4.采用OC 门主要解决了_____ A .TTL 与非门不能相与的问题 B. TTL 与非门不能线与的问题 C. TTL 与非门不能相或的问题 5.已知某触发的特性表如下(A 、B 为触发器的输入)其输出信号的逻辑表达式为___ A . Q n+1 =A B. n n 1n Q A Q A Q +=+ C. n n 1n Q B Q A Q +=+ 三、化简下列逻辑函数,写出最简与或表达式:(共20分) 1. BC A C B A C B B A Y 1+?++= 2. Y 2=Σm (0,1,8,9,10,11) 3. Y 3见如下卡诺图

数字电子技术期末试题库

【数字电子技术】【试题库】 一、填空题 1.电子电路中的信号可分为两大类,即模拟信号和。 2.数字信号是时间上和上都不连续的信号。 3.十进制数176转换成二进制数为。 4.二进制数11010011转换成十进制数为。 5.所谓二-十进制编码,就是用若干位二进制码元按一定的规律排列起来表示十进制数的过程,也称为码。 6.目前,国际最通用的处理字母、专用符号和文字的二进制代码就是美国标准信息交换码,即码。 ?+?=。 7.二进制数的逻辑运算0111 8. 二进制数的逻辑运算11=。 ⊕=。 9. 二进制数的逻辑运算11 +?=。 10.利用逻辑代数公式,对右式进行化简,A A B ++?=。 11.利用逻辑代数公式,对右式进行化简,A B A B 12.逻辑代数的三条重要规则分别是代入规则、反演规则和。 13.由n个逻辑变量组成的不同最小项个数为个。 14.由n个变量组成的“与或”逻辑表达式,若其中每一项均是关于n个逻辑变量的最小项,则称这一表达式为。 15.利用卡诺图求解最简逻辑表达式时,需要画方格圈,其中有三条要求:将2n个值为1的方格划为一个方格圈,方格圈的数量应(越少/越多)越好,方格圈所含的方格数应(越少/越多)越好。 16.三极管作为开关元件,通常工作在截止区和。 17.集成门电路主要有TTL门电路和。 18.三态门电路的输出有高电平、低电平和共3种状态。 19.TTL集成门电路是由半导体构成的,由于它工作速度快,带负载和抗干扰能力强,因而在数字电路中应该广泛。 20.根据逻辑功能的不同特点,数字逻辑电路可以分为两大类:组合逻辑电路和。 21.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现干扰脉冲,从而导致逻辑电路产生错误输出的现象,称为。 22.触发器有两种稳定状态,即0状态和。 23.RS触发器由两个门电路首尾相连构成。 24.为了避免基本RS触发器输出存在不确定的情况,对其输入端设置了相应的约束条件是。

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=Ω,C=μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号 ……………线………………………

图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图3 D= Q n+1= Q = 1 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。

数字系统设计 期中考试试卷 及答案

《数字系统设计》期中考试 试卷A (闭卷) 班级学号姓名成绩 一.单项选择题(每题2分,共20分) 1.表示任意两位无符号十进制数需要( B )二进制数。 A.6 B.7 C.8 D.9 2.补码1.1000的真值是( D )。 A.+1.0111 B. -1.0111 C. -0.1001 D. -0. 1000 3.根据反演规则,F=(A’+C)(C+DE)+E’的反函数为( A )。 A. F'=(AC'+C'(D'+E'))E B. F’=AC+C(D+E)E C. F'=(AC’+C’D’+E’)E D. F’=A’C+C(D+E)E’ 4.要使JK触发器在时钟作用下的新态与初态相反,JK端取值应为( D )。 A.JK=00 B. JK=01 C. JK=10 D. JK=11 5.设计一个四位二进制码的奇偶位发生器(假定采用偶检验码),需要( B )个2输入 的异或门。 A.2 B. 3 C. 4 D. 5 6.在下列三个逻辑函数表达式中,( A )是最小项表达式。 A. Y(A,B)=AB’+A’B B. Y(A,B,C)= AB’+A’B +A’BC+AB’C C. Y(A,B,C)=A’BC+AB’C+BC’ D. Y(A,B,C,D)=A’B’C’+AC’B+ABC+A’B’C 7.采用OC门主要解决了( B )。 A. TTL与非门不能相与的问题 B. TTL与非门不能线与的问题 C. TTL与非门不能相或的问题 D. TTL与非门抗干扰的问题 8.逻辑函数F=AB'+CD',其对偶函数F*为( C )。 A. (A’+B’)(C’+D’) B. (A’+B)(C’+D) C. (A+B’)(C+D’) D. (A+B)(C+D) 9.逻辑函数Y=(AB+B)CD+(A+B)(B+C)的最简与或形式为( B )。 A. AB+C B. AC+B C. A+BC D. AB+BC+AC 10.卡诺图上变量的取值顺序是采用( B )的形式,以便能够用几何上的相邻关系表示 逻辑上的相邻。 A. 二进制码 B. 循环码 C. ASCII码 D. 十进制码 二.判断题(判断各题正误,正确的在括号内记“∨”,错误的在括号内记“×”,并在划线处改正。每题2分,共10分) 1. 原码和补码均可实现将减法运算转化为加法运算。(×) 改正:补码可实现将减法运算转化为加法运算,原码不行。 2. 并行加法器采用超前进位(并行进位)的目的是简化电路结构。(×) 改正:并行加法器采用超前进位(并行进位)的目的是为了提高运算速度。 3. 优先编码器的编码信号是相互排斥的,不允许多个编码信号同时有效。(×) 改正:优先编码器允许多个输入信号同时有效,按优先级顺序,对最高优先级的输入进行编码。 4. 数据选择器和数据分配器的功能正好相反,互为逆过程。(√) 5. 在时钟脉冲的一个变化周期中,主从结构的RS触发器的主触发器的 状态只能改变一次。(×) 改正:在时钟脉冲的一个变化周期中,主从结构的RS触发器的从触发器的状态只改变一次,

数字电子技术基础期中考试卷

数字电子技术基础期中 考试卷 Document serial number【NL89WT-NY98YT-NC8CB-NNUUT-NUT108】

******2014—2015学年下学期 《数字电子技术基础》课程期中考试试卷 考试院系: ******** 考试日期: 2.对于JK 触发器,若K J =,则可完成 触发器的逻辑功能;若K J =,则可完成 触发器的逻辑功能。 3. 逻辑代数又称为布尔代数。最基本的逻辑关系有 、 、 三种。 4.逻辑函数F=A +B+C D 的反函数F = 。 5.逻辑函数F=A B C D +A+B+C+D= 。 6.OC 门称为集电极开路门,多个O C 门输出端并联到一起可实现 功能。 7.七段字符显示器的内部接法有两种形式:共 接法和共 接法。 8.消除竟争冒险的方法有 、 和引入选通脉冲等。 9.逻辑函数有四种常用的表示方法,它们分别是 、 、逻辑函数式和逻辑图 二、选择题(每题1分,共15分) 1.一位十六进制数可以用 位二进制数来表示。 A . 1 B . 2 C . 4 D . 16 2.下列触发器中没有约束条件的是 。 A. 基本RS 触发器 B. 钟控RS 触发器 C. 主从RS 触发器 D. 边沿JK 触发器

3.组合电路设计的结果一般是要得到 。 A. 逻辑电路图 B. 电路的逻辑功能 C. 电路的真值表 D. 逻辑函数式 4. 当逻辑函数有n 个变量时,共有 个变量取值组合 A. n B. 2n C. n 2 D. 2n 5. 逻辑函数的表示方法中具有唯一性的是 。 A .真值表 B.表达式 C.逻辑图 D.以上三种都是 6.逻辑函数F=)(B A A ⊕⊕ = 。 C.B A ⊕ D. B A ⊕ 7.在何种输入情况下,“与非”运算的结果是逻辑0。 A .全部输入是0 B.任一输入是0 C.仅一输入是0 D.全部输入是1 8.对于TT L 与非门闲置输入端的处理,不正确的是 。 A.接电源 B.通过电阻3k Ω接电源 C.接地 D.与有用输入端并联 9.下列表达式中不存在竞争冒险的有 。 =B +A B =A B+B C =AB C +A B =(A +B )A D 10.若在编码器中有50个编码对象,则要求输出二进制代码位数为 位。 .6 C 11.四选一数据选择器的数据输出Y 与数据输入Xi 和地址码A i 之间的逻辑表达式为Y = 。 A.3X A A X A A X A A X A A 01201101001+++ B.001X A A C.101X A A D.3X A A 01 12.在下列逻辑电路中,不是组合逻辑电路的有 。 A.译码器 B.编码器 C.全加器 D.寄存器 13.用四选一数据选择器实现函数Y =0101A A A A +,应使 。 =D 2=0,D 1=D 3=1 =D 2=1,D 1=D 3=0 =D 1=0,D 2=D 3=1 =D 1=1,D 2=D 3=0 14.比较两个一位二进制数A 和B ,当A=B 时输出F=1,则F 的表达式是 。 A 、F=A B B 、B A F = C 、B A D 、F=A ⊙B 15.逻辑函数F(A,B,C) = AB+BC+C A 的最小项标准式为 。 A 、F(A,B,C)=∑m(0,2,4) B 、F(A,B,C)=∑m(1,5,6,7) C 、F(A,B,C)=∑m (0,2,3,4) D 、F(A,B,C)=∑m(3,4,6,7)

数字电路基础教案

第7章数字电路基础 【课题】 7.1 概述 【教学目的】 1.让学生了解数字电子技术对于认知数码世界的重要现实意义,培养学生学习该科目的浓厚兴趣。 2.明确该科目的学习重点和学习方法。 【教学重点】 1.电信号的种类和各自的特点。 2.数字信号的表示方法。 3.脉冲波形主要参数的含义及常见脉冲波形。 4.数字电路的特点和优越性。 【教学难点】 数字信号在日常生活中的应用。 【教学方法】 讲授法,讨论法 【参考教学课时】 1课时 【教学过程】 一、新授内容 7.1.1 数字信号与模拟信号 1. 模拟信号:在时间和数值上是连续变化的信号称为模拟信号。 2. 数字信号:在时间和数值上是离散的信号称为数字信号。 讨论:请同学们列举几种常见的数字信号和模拟信号。 7.1.2 脉冲信号及其参数 1. 脉冲信号的定义:在瞬间突然变化、作用时间极短的电压或电流信号。 2.脉冲的主要参数:脉冲幅值V m 、脉冲上升时间t r 、脉冲下降时间t f 、脉冲宽度t W 、脉冲周期T及占空比D。 7.1.3 数字电路的特点及应用 特点:1.电路结构简单,便于实现数字电路集成化。

2.抗干扰能力强,可靠性高。(例如手机) 3.数字电路实际上是一种逻辑运算电路,电路分析与设计方法简单、方便。 4.数字电路可以方便地保存、传输、处理数字信号。(例如计算机) 5.精度高、功能完备、智能化。(例如数字电视和数码照相机) 应用:数字电路在家电产品、测量仪器、通信设备、控制装置等领域得到广泛的应用,数字化的发展前景非常宽阔。 讨论:1.你用过哪些数字电路产品,请列出1~2个较为典型的例子,并就其中一个产品说明它的功能及优点和缺点。 二、课堂小结 1. 数字信号与模拟信号的概念 2. 脉冲信号及其参数 3. 数字电路的特点及应用 三、课堂思考 讨论:谈谈如何才能学好数字电路课程? 四、课后练习 P143思考与练习题:1、 2、3。 【课题】 7.2 常用数制与编码 【教学目的】 1.掌握二进制、十进制、十六进制数的表示方法及数制间的相互转换。 2.了解8421BCD码的表示形式。 【教学重点】 1.二进制、十六进制数的表示方法。 2.数字电路中为什么广泛采用二、十六进制数。 3.为什么要进行不同数制之间的转换。 4.进行二进制、十进制数、十六进制之间的相互转换。 5. 8421BCD码。 【教学难点】

数字电子技术试题(含答案)

系:_____________ 专业:_______________ 班级:_________ 准考证号: 姓名:_____________ 期 末 考 试 试 题 (卷) 密 封 线 密 封 线 以 内 不 准 作 任 何 标 记 密 封 线

8、要使JK 触发器处于计数状态,则必须使( ) A.J=K=1 B.J=K=0 C.J=0,K=1 D.J=1,K=0 9、下列触发器中没有计数功能的是( ) A.RS 触发器 B.T 触发器 C.JK 触发器 D.T ˊ触发器 10、组合电路中的冒险,偏“0”冒险Y = ( ) 二、填空题:(请在答题纸答题)(每空2分,共30分) 1、逻辑函数的表示方法有___________、___________、___________、___________、___________五种形式。 2、组合电路中的冒险,偏“1”冒险Y = ___________,偏“0”冒险Y = ___________。 3、不仅考虑两个____________相加,而且还考虑来自__________相加的运算电路,称为全加器。 4、一个4选1的数据选择器,应具有_____个地址输入端______个数据输入端。 5、时序逻辑电路的输出不仅和_________有关,而且还与_____________有关。 6、计数器按CP 脉冲的输入方式可分为___________和___________。 三、化简题(请在答题纸答题)(每小题5分,共10分) 1、用公式证明等式,()()AB AB A B A B +=++ 2、用卡诺图化简函数为最简单的与或式(画图)。 (0,1,3,4,5,7,)Y m =∑ 四、根据已知条件,画出输出波形(请在答题纸答题)(每题10分,共20分) 1、已知逻辑门与输入波形,作出Y 的波形 Y A

数字电路期末复习考试题及答案

数字电路期末复习题及答案 一、填空题 1、数字信号的特点是在时间上和幅值上都是断续变化的,其高电平和低电平常用 1 和0 来表示。 2、分析数字电路的主要工具是逻辑代数,数字电路又称作逻辑电路。 3、逻辑代数又称为布尔代数。最基本的逻辑关系有与、或、非三种。常用的几种导出的逻辑运算为与非或非与或非同或异或。 4、逻辑函数的常用表示方法有逻辑表达式真值表逻辑图。 5、逻辑函数F=A B C D+A+B+C+D= 1 。 6、逻辑函数F=AB A+ + += 0 。 B A B B A 7、O C门称为集电极开路门,多个O C门输出端并联到一起可实现线与功能。 8、T T L与非门电压传输特性曲线分为饱和区、转折区、线性区、截止区。 9、触发器有2个稳态,存储8位二进制信息要8个触发器。 10、一个基本R S触发器在正常工作时,它的约束条件是R+S=1,则它不允许输入S=0且R=0的信号。 11、一个基本R S触发器在正常工作时,不允许输入R=S=1的信号,因此它的约束条件是R S=0。 12、在一个C P脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的空翻,触发方式为主从式或边沿式的触发器不会出现这种现象。 13、施密特触发器具有回差现象,又称电压滞后特性;单稳触发器最重 要的参数为脉宽。 14、半导体数码显示器的内部接法有两种形式:共阴接法和共阳接法。 15、对于共阳接法的发光二极管数码显示器,应采用低电平驱动的 七段显示译码器。 16、寄存器按照功能不同可分为两类:移位寄存器和数码寄存器。 17、时序逻辑电路按照其触发器是否有统一的时钟控制分为同步时 序电路和异步时序电路。 二、选择题 1、一位十六进制数可以用 C 位二进制数来表示。 A.1 B.2 C.4 D. 16 2、十进制数25用8421BCD码表示为 B 。 A.10 101 B.0010 0101 C.100101 D.10101 3、以下表达式中符合逻辑运算法则的是D。 A.C·C=C2 B.1+1=10 C.0<1 D.A+1=1

数字电子技术基础课程教学大纲简介

数字电子技术基础课程教学大纲 英文名称:Digital Electronic Technology Fundamentals 课程编码:04119630 学时:64/12学分:4 课程性质:专业基础课课程类别:理论课 先修课程:高等数学、普通物理、电路理论、模拟电子技术基础 开课学期:第4学期 适用专业:自动化、电气工程及其自动化、工业自动化仪表 一、课程教学目标 通过本课程的理论教学和实验训练,能够运用数字电子技术的基本概念、基本理论与分析方法和设计方法,解决较复杂的数字电路系统相关的工程问题,使学生具备下列能力: 1、使用逻辑代数解决逻辑问题; 1、正确使用数字集成电路; 1、分析和设计数字逻辑电路; 2、正确使用数字逻辑电路系统的辅助电路。 三、课程的基本内容 3.1 理论教学 1、数字逻辑基础(支撑教学目标1) 教学目标:使学生掌握逻辑代数的三种基本运算、三项基本定理、基本公式和常用公式。了解二进制的算术运算与逻辑运算的不同之处。掌握逻辑函数的四种表示方法(真值表法、逻辑式法、卡诺图法及逻辑图法)及其相互之间的转换。理解最小项的概念及其在逻辑函数表示中的应用。掌握逻辑函数的公式化简法和图形化简法。掌握约束项的概念及其在逻辑函数化简中的应用。

本章主要内容: (1)数字信号与数字电路 (2)逻辑代数 (3)逻辑函数及其表示方法 (4)逻辑函数的化简 2、逻辑门电路(支撑教学目标2) 教学目标:使学生了解门电路的定义及分类方法。二极管、三极管的开关特性,及分立元件组成的与、或、非门的工作原理。理解TTL 反相器的工作原理,掌握其静态特性,了解动态特性。了解其它类型TTL门的工作原理及TTL集成门的系列分类。 本章主要内容: (1)半导体二极管门电路 (2)半导体三极管门电路 (3)TTL集成门电路 3、组合逻辑电路(支撑教学目标3) 教学目标:使学生掌握组合逻辑电路的设计与分析方法。理解常用组合逻辑电路,即编码器、译码器和数据选择器的基本概念、工作原理及应用。掌握译码器和数据选择器在组合电路设计中的应用。 本章主要内容: (1)概述 (2)组合逻辑电路的分析与设计 (3)常用组合逻辑电路 (4)用中规模集成电路设计组合逻辑电路 4、触发器(支撑教学目标3) 教学目标:使学生理解触发器的定义。掌握基本SR触发器、同步触发器、主从触发器、边沿 触发的触发器的动作特点。掌握触发器的各种逻辑功能(DFF,JKFF,SRFF,TFF,T’FF)。掌握触发器 逻辑功能与触发方式的区别。掌握画触发器工作波形的方法。 本章主要内容: (1)概述 (2)基本SR触发器(SR锁存器)和同步触发器(电平触发) (3)主从触发器(脉冲触发)和边沿触发器(边沿触发) (4)触发器的逻辑功能及描述方法 5、时序逻辑电路(支撑教学目标3) 教学目标:使学生掌握时序逻辑电路的定义及同步时序电路的分析与设计方法。了解异步时序电路的概念。理解时序电路各方程组(输出方程组、驱动方程组、状态方程组),状态转换表、状态转换图及时序图在分析和设计时序电路中的重要作用。了解常用时序电路(计数器、移位寄存器)的组成及工作原理及其应用。 本章主要内容: (1)时序电路的基本概念

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

中南大学数字电子技术基础期末考试试卷(四套附答案)

中南大学数字电子技术基础期末考试试卷(四 套附答案) -CAL-FENGHAI.-(YICAI)-Company One1

中南大学信息院《数字电子技术基础》 期终考试试题(110分钟)(第一套) 一、填空题:(每空1分,共15分) =+的两种标准形式分别为()、 1.逻辑函数Y AB C ()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。 7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数 P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、B、C的P、Q波形。

三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。 四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分)

相关文档
最新文档