基于运放的信号发生器设计

基于运放的信号发生器设计
基于运放的信号发生器设计

北京工业大学课程设计报告

模电课设题目基于运放的信号发生器设计

班级:1302421

学号:13024219

姓名:吕迪

组号:7

2015年 6月

一、设计题目

基于运放的信号发生器设计

二、设计任务及设计要求

(一)设计任务

本课题要求使用集成运算放大器制作正弦波发生器,在没有外加输入信号的情况下,依靠电路自激震荡而产生正弦波输出的电路。经过波形变换可以产生同频三角波、方波信号。(二)设计要求

基本要求:使用LM324,采用经典振荡电路,产生正弦信号,频率范围,360Hz~100kHz。输出信号幅度可调,使用单电源供电以及增加功率。

(三)扩展要求

(1)扩大信号频率的范围;

(2)增加输出功率

(3)具有输出频率的显示功能。

三、设计方案

(一)设计框图

(二)设计方案选择思路

我们在模电课上学过几种正弦波振荡器的基本电路,包括RC串并联正弦波振荡器、电容三点式正弦波振荡器以及电感三点式正弦波振荡器。因为题目要求设计基于运放的正弦波发生器,我们就确定将RC串并联网络正弦波振荡器作为我们设计的基础电路,因为此振荡器适用于频率在1MHz一下的低频正弦波振荡器而且频率调节方便,我们打算先通过计算搭建RC 正弦波振荡电路,测试基本电路达到的频率及幅值范围,再在这一基础上进行放大,使频率及幅值与设计要求相符合,因此设计出了二级反向放大这一模块。最后,为了提高电路的输出功率,减小电路的输出阻抗,再设计电压跟随器这一模块来完善整个电路。由此,我们确定出三个模块:RC正弦波振荡电路,二级反向放大电路,电压跟随器,并准备从基础模块入手,分模块实现,并根据实际情况不断调整改进原先的设计方案。

(三)元器件清单

芯片:LM324*2 40106*1

二极管:1N4148*2

电容:10μF*1、10nf *4

电阻:2k*1 、10k*4、51k*1 、82k*1 、91k*1 、100k滑动变阻器*1、220k*1

电位器:50k双联*1、10k*2、50k*1

(四)芯片资料

LM324包含四个独立的,高增益,内部频率补偿运算放大器。4引脚接电源,11引脚接地。3、5、10、12 引脚分别为四个运放的同相输入端,2、6、9、13 引脚分别为四个运放的反向输入端,1、7、8、14 引脚分别为四个运放的输出端。

(五)

模块设计

1、RC 正弦波振荡电路

振荡电路主要由基本放大电路、选频网络及反馈网络三部分组成。其中基本放大电路是使电路获得一定幅值的输出量。选频网络是确定电路的振荡频率,保证电路产生正弦波振荡。正反馈网络的作用是在振荡电路中,当没有输入信号的情况下,引入正反馈信号作

为输入信号。下图所示为最基础的RC 正弦波振荡电路。然而这个正弦波振荡电路易出现停振现象,不稳定,因此,引入第四部分稳幅环节进行调整。由于U0 和Uf具有良好的线性关系,所以为了稳定输出电压的幅度,一般在电路中加入非线性环节。当输出电压的幅度较小时,电阻R4 两端的电压低,二极管D1、D2 截止;当输出电压的幅度增加到一定程度时,二极管D1、D2 在正负半周轮流工作,其动态电阻与R4 并联,使负反馈系数加大,电压增益下降。输出电压的幅度越大,二极管的动态电阻越小,电压增益也越小,输出电压的幅度保持基本稳定。增加稳幅环节后的RC 正弦波振荡电路图如下。其中R1、C1 和R2、C2 为串、并联选频网络,接于运算放大器的输出与同相输入端之间,构成正反馈,以产生正弦自激振荡。R3、RW 及R4 组成负反馈网络,调节RW 可改变负反馈的反馈系数,从而调节放大电路的电压增益,使电压增益满足振荡的幅度条件。

2、二级反向放大电路

考虑到频率较高时,幅值会达不到题目要求。模电里学过比例放大器,因此,通过加反相比例运算电路可以达到幅值放大的目的。反相比例运算电路满足:

可根据此公式计算出放大倍数,确定电阻阻值,完成放大电路的设计。又因为LM324增益带宽为1MHz,二级放大可以拓宽频带,解决提高增益则带宽减小的问题。采用两级反向放大,第一级放大倍数应小于第二级放大倍数,且第二输入电阻的选择要恰当,既不能太小,也不能太大,一般选择方法是输入电阻和反馈电阻之和为几十千欧或几百千欧。各级运算放大器之间添加电解电容器,以达到隔直和耦合作用。此外,振荡电路输出信号,一般不直接连在放大器上,而是使用一个电位器调节输出信号幅值,再与放大器连接,以防止放大器输入信号过大,而导致输出出现失真的情况。

3、电压跟随器

由于LM324输出电流有限,一般仅为几十毫安,在电流一定的情况下,为了提高电路的输出功率,一种有效的做法是减小电路的输出阻抗。因为电压跟随器的特点是输入阻抗高,输出阻抗小,可以起到阻抗变换及隔离作用,且运用LM324容易构建电压跟随器,因此输出端再加电压跟随器以提高带负载的能力。

(六)电路参考图

四、实验过程

(一)、实验仿真

我们用Multisim2013进行了电路仿真,为了弄清每一部分电路的具体作用,我们分模块进行仿真。先是最基础的正弦波振荡电路,之后进行的整体仿真。

1、频率及幅值调节

本来以为仿真都能顺利完成,只要按照电路图把电路连接清楚,按照示波器显示的波形,通过调整第一个模块调节电位器使正弦波起振,调节幅值大小,然后调节选频环节的同轴电位器调频率,再通过设定的二级反向比例来放大,最后通过电压跟随器输出就可以调出我们需要的正弦波。然而实际调试却颇为周折,调试结果甚至不同于仿真结果,出现失真、频带宽度不够等问题。

2、失真处理

(1)刺突失真:

频率较低时(f<1KHz时)通常调试过程中不会出现失真,从示波器可以看到规律的正弦波。但随着频率提高,失真也不断加剧,最常出现的是如下图所示的失真现象,即能看出输出为正弦波,但波形中有间断的地方。我们从网上查找资料,发现这是LM324制作正弦波振荡器的常见失真:刺突失真。解决此类失真的方法是用一只适当阻值的电阻连接在输出端与负电源之间,这样即可消除失真,使波形稳定。调试过程中,我们按照此方法,在示波器所测输出端与电源之间加了3kΩ的电阻,果然顺利消除了刺突失真。

(2)削波失真:削波失真波形如下图所示,正弦波顶部和底部被削平,严重的波形失真近似于方波。出现此类失真主要由反馈电阻值过大,使电路的增益过大,致使输出电压峰值太大。因此解决方法是减小反馈电阻。实验时,削波失真主要出现在二级反向比例放大的输出端,为防止放大器的输入信号过大,消除削波失真,需要调节电位器使反馈电阻阻值合适。

3、调试结果

经过调试,最终频率调节范围在300Hz—80KHz之间,幅值基本在0-2V。电路实际连线图如下:

五、心得体会

数电课设完成后,在仿真成功后本以为模电课设也能顺利得出波形,但是,实际插线完成后才明白模电课设实现的困难之处。模电容易出现失真,很难调试。在实际过程中,我们需要不断的调试,在调试的过程中,一定要有足够的耐心,这对调试人员的耐心是一种极大的考验,除此之外,在调试的过程中一定要对电路调试的参数有所了解,并且在调试时尽量要做到微调,这多操作的方式和力度都是一种考验。模电实验使我们将模电理论知识与调试实践相结合。加深了对运算放大器各部分电路的应用的认识,也在复习了原先的正弦波振荡电路的基础上,进一步拓展了经典电路使之更为实用。在实际应用过程中,我们也需要不断改进,得到最实用实际效果最佳的电路。不断调试的过程锻炼了我们的耐心和毅力。刚开始出正弦波时,我们很是喜悦,但随着调试过程中高频率正弦波总是出现失真,还时常出现停振现象,遇到各种奇怪波形,我们颇有些气馁。但最后,我们耐心调节,尽量使之达到课设要求,完成了模电课程设计。

六、附录

参考资料:

《模拟电子技术基础》第四版童诗白华成英高等教育出版社

百度搜索

数字信号发生器课程设计

数字信号发生器的设计 摘要 信号发生器也叫做振荡器或是信号源,在现在的科技生产实践中有着广泛而重要的应用。现在的特殊波形发生器在价格上不够经济,有些昂贵。而基于AT89C51单片机的函数信号发生器可以满足此要求。根据傅里叶变换,各种波形均可以用三角函数的相关式子表示出来。函数信号发生器能够产生多种波形,如三角波、锯齿波、矩形波、方波和正弦波。 本文通过在单片机的外围加上键盘,控制波形的种类和输出频率的大小,加上LED 显示出相应信息。单片机输出为数字信号,于是在输出端用DAC0832进行D/A转换,再通过两级运放对波形进行调整。最终在示波器上显示出来。 关键词:信号发生器, AT89C51,D/A转换,波形调整

目录 1 绪论 (1) 1.1 课题研究背景 (1) 1.2波形介绍 (1) 2系统设计 (3) 2.1方案选择 (3) 2.2框图设计 (3) 2.3单片机模块 (4) 2.4按键控制与显示电路设计 (6) 2.5 D/A转换电路 (7) 2.6 显示电路 (9) 2.7 放大电路设计 (12) 2.8整体的电路原理图 (13) 2.9元件清单 (13) 3软件设计 (15) 3.1程序流程图 (15) 3.2程序代码 (15) 4系统仿真及调试 (18) 4.1系统仿真图 (18) 4.2系统调试 (19) 总结 (21) 致谢 (22) 参考文献 (23)

1绪论 1.1课题研究背景 随着经济与科技不断发展,相应的测试仪器与手段也有了许多改善与提高,但是对之要求也不断提高。波形发生器的信号已知,使用者然后根据具体的要求,将其作为激励源,测得感兴趣的参数。信号源仿真各种测试信号,给待测电路,从而满足现实需求。信号发生器在仿真实验占有重要地位,对于测试仪器来说也同样不可缺少。因此对相关信号发生器的研究开发有着一定的意义。 传统的信号发生器电路复杂,控制灵活度不够,成本也相对较高。虽然我国所研制的波形发生器在一定程度上已有了一些成果,但与国外技术确实还存在一定差距,因此很有必要提高相关方面的研究。 利用单片机的控制灵活性,外设处理能力强等特点,实现频率与幅度可调的多种波形,这就克服了传统的缺点,具有良好的实用性。同时根据程序的易控制性,可以容易实现各种较复杂的调频调幅功能。 1.2波形介绍 正弦波,正弦信号可用如下形式表示 f (t)=A sin(ωt+θ) (1) 其中,A 为振幅,ω是角频率,θ为初相位。正弦函数为一周期信号如下图1所示: 图1正弦波 ·方波 方波函数是我们常用且所熟知的简单波形函数,做脉冲等,其表示形式如下:

(数字信号发生器+电子琴)实验报告

实验一数字信号发生器和电子琴制作 一、实验目的 1.熟悉matlab的软件环境,掌握信号处理的方法,能在matlab的环境下完成对 信号的基本处理; 2.学会使用matlab的GUI控件编辑图形用户界面; 3.了解matlab中一些常用函数的使用及常用运算符,并能使用函数完成基本的 信号处理; 二、实验仪器 计算机一台,matlab R2009b软件。 三、实验原理 1.数字信号发生器 MATLAB是矩阵实验室(Matrix Laboratory)的简称,用于算法开发、数据可视化、数据分析以及数值计算的高级技术计算语言和交互式环境,主要包括MATLAB 和Simulink两大部分。 已知的常用正弦波、方波及三角波,可以通过matlab自带的函数实现,通过改变函数的幅值、相位和频率可以得到不同的信号。 正弦信号:y=A*sin(2*pi*f*t); 方波信号:y=A*square(2*f*pi*x+c); 三角波信号:y=A*sawtooth(2*pi*f*x+c); 2. 电子琴 电子琴的每个音阶均对应一个特定频率的信号,通过调用数字信号发生器产生一系列指定的频率的声音,从而达到虚拟的电子琴的功能。界面中包含1、2、…、7共 7 个琴键,鼠标按下时即发声,松开时发声停止。同时能够产生正弦波、方波、三角波等常见的波形的数字信号,然后将数字信号写入声卡的缓冲区,最后由声卡播放出相应的声音。 已知音乐的七个音阶的主频率分别是131Hz、147Hz、165Hz、175Hz、196Hz、220Hz和247Hz,分别构造正弦波、方波和三角波,可以组成简单的电子琴。

四、实验内容 1.数字信号发生器的制作 (1)搭建GUI界面 图形用户界面(Graphical User Interface,简称GUI,又称图形用户接口)是指采用图形方式显示的计算机操作用户界面。与早期计算机使用的命令行界面相比,图形界面对于用户来说在视觉上更易于接受。 Matlab环境下的图形用户界面(GUI)是由窗口、光标、按键、菜单、文字说明等对象(Objects)构成的一个用户界面。用户通过一定的方法(如鼠标或键盘)选择、激活这些图形对象,使计算机产生某种动作或变化,比如实现计算、绘图等。MATLAB的用户,在指令窗中运行demo 打开那图形界面后,只要用鼠标进行选择和点击,就可产生丰富的内容。 利用GUI控件中自带的按钮,根据需要组成如下图1所示的数字信号发生器的Gui界面。 图1 数字信号发生器的GUI界面

信号发生器的设计方案综述【文献综述】

文献综述 电子信息工程 信号发生器的设计方案综述 摘要:本文首先介绍了信号发生器的背景与应用,然后提出了基于直接数字频率合成(DDS)技术的信号发生器实现,概述了DDS的概念及基本结构,介绍了基于FPGA、单片机及专用芯片的信号发生器实现方案,最后对这些方案给出笔者的评价。 关键词:DSP BUILDER;数字移相信号发生器;DDS 1引言 在当今社会,信号发生器作为电子领域中的最基本、最普通、最广泛的仪器之一,是工科类电子工程师进行信号仿真实验的最佳工具。而信号发生器是指能产生测试信号的仪器,它主要用于产生被测电路所需特定参数的电测试信号。 本文设计的数字移相信号发生器通过移相技术在数控、数字信号处理机、工业控翻、自动控制等各个领域得以应用[1]。 2 DDS概述 直接数字频率合成DDS(Direct Digital Frequency Synthesizer)是一种采用数字化技术、通过控制相位的变化速度、直接产生各种不同频率信号的新型频率合成技术,标志着第三代频率合成技术的出现。它是把一系列数字量形式的信号通过数模转换器(DAC)转换成模拟量形式的信号[2]。目前使用的最广的一种DDS方式是利用高速存储器作查找表。然后通过高速DAC输出已经用数字形式存入的正弦波。具有频率切换时间短,频率分辨率高,频率稳定度高。输出信号的频率和相位可快速程控交换、输出相位连续、容易实现频率、相位和幅度的数控调制等优点[3]。 图1 DDS基本结构 DDS是以数控的方式产生频率、相位和幅度可以控制的正弦波,如图1所示为基本DDS结构,由

相位累加器、相位调制器、正弦ROM查找表、D/A构成[4]。相位累加器是整个DDS的核心,它由一个累加器和一个N位相位寄存器组成,每来一个时钟脉冲,相位寄存器以相位步长M增加,相位寄存器的输出与相位控制字相加,完成相位累加运算,其结果作为正弦查找表的地址,正弦ROM查找表内部存有一个完整周期正弦波数字幅度信息,每个查找表地址对应正弦波中o。~360。范围的一个相位点,查找表把输入的地址信息映射成正弦波幅度信号,通过D/A输出,经低通滤波器后,即可得一纯净的正弦波。 而所谓的移相,就是指两路同频的信号,以其中的一路为参考,另一路相对于该参考作超前或滞后的移动,即称为相位的移动。两路信号的相位不同,便存在相位差,简称相差[5]。两路信号的相位差用相位字来控制,只要相位字不同,就可得到两路不同相位的移相信号。 3 基于DDS的数字移相系统设计 3.1基于FPGA的实现 传统使用FPGA的数字信号处理系统的设计,首先需要用仿真软件进行建模仿真,得到预想中的仿真结果后。再根据仿真过程和结果,使用硬件描述语言创建硬件工程,最后完成硬件仿真。整个过程漫长而繁杂,尤其困难的是仿真过程不够直观.一旦遇到问题无法及时准确地确定问题所在。而DSP Builder作为一个面向DSP开发的系统级(或算法级)设计工具,它架构在多个软件工具之上,并把系统级和RTL 级两个设计领域的设计工具连接起来,最大程度地发挥了两种工具的优势[5]。DSP Builder依赖于MathWorks 公司的数学分析工具Matlab/ Simulink ,DSP Builder允许设计者在Matlab 中完成算法设计,在Simulink 软件中完成系统集成,通过SignalCompiler模块生成Q uart usII 软件中可以使用的硬件描述语言(V HDL) 文件,它提供了QuartusII软件和MA TLAB/ Simulink工具之间的接口,通过DSP Builder 、SOPC Builder 、Quart usII 软件构筑的一套从系统算法分析到FPGA 芯片实现的完整设计平台[6]。 3.2基于单片机的实现 基于单片机的信号发生器其核心内容是单片机的主程序,主程序对整个设计起着总控作用[7]。设计方案如图2所示.系统在程序控制下,先读取P3口决定波形信号类别,然后由Po口输出数据,经D/A转换后放大、滤波输出.波形频率在线调整是通过读取P2口上的拨码开关的编码,并根据该编码产生的数字量,在PO口输出一个数据后立即产生一个对应时长的延时时间来实现.幅度调整是通过接在DAC上的滑动变阻器来改变D/A转换的参考电压来实现[8]。

函数信号发生器设计方案

函数信号发生器的设 计与制作 目录 一.设计任务概述 二.方案论证与比较 三.系统工作原理与分析 四.函数信号发生器各组成部分的工作原理 五.元器件清单 六.总结 七.参考文献

函数信号发生器的设计与制 一.设计任务概述 (1)该发生器能自动产生正弦波、三角波、方波。 (2)函数发生器以集成运放和晶体管为核心进行设计 (3)指标: 输出波形:正弦波、三角波、方波 频率范围:1Hz~10Hz,10Hz~100Hz 输出电压:方波VP-P≤24V,三角波VP-P=8V,正弦波VP-P>1V; 二、方案论证与比较 2.1·系统功能分析 本设计的核心问题是信号的控制问题,其中包括信号频率、信号种类以及信号强度的控制。在设计的过程中,我们综合考虑了以下三种实现方案: 2.2·方案论证 方案一∶采用传统的直接频率合成器。这种方法能实现快速频率变换,具有低相位噪声以及所有方法中最高的工作频率。但由于采用大量的倍频、分频、混频和滤波环节,导致直接频率合成器的结构复杂、体积庞大、成本高,而且容易产生过多的杂散分量,难以达到较高的频谱纯度。 方案二∶采用锁相环式频率合成器。利用锁相环,将压控振荡器(VCO)的输出频率锁定在所需要频率上。这种频率合成器具有很好的窄带跟踪特性,可以很好地选择所需要频率信号,抑制杂散分量,并且避免了量的滤波器,有利于集成化和小型化。但由于锁相环本身是一个惰性环节,锁定时间较长,故频率转换时间较长。而且,由模拟方法合成的正弦波的参数,如幅度、频率相信都很难控制。 方案三:采用8038单片压控函数发生器,8038可同时产生正弦波、方波和三角波。改变8038的调制电压,可以实现数控调节,其振荡范围为0.001Hz~300K 方案四:采用分立元件设计出能够产生3种常用实验波形的信号发生器,并确定了各元件的参数,通过调整和模拟输出,该电路可产生频率低于1-10Hz的3种信号输出,具有原理简单、结构清晰、费用低廉的优点。该电路已经用于实际电路的实验操作。 三、系统工作原理与分析 采用由集成运算放大器与场效应管共同组成的方波—三角波—正弦波函数发生器的设计方法,先通过比较器产生方波,再通过积分器产生三角波,最后通过场效应管正弦波转换电路形成正弦波,波形转换原理图如下:

低频信号发生器设计开题报告

1 研究的目的及其意义 随着电子测量及其他部门对各类信号发生器的广泛需求及电子技术的迅速发展,促使信号发生器种类增多,性能提高。尤其随着70年代微处理器的出现,更促使信号发生器向着自动化、智能化方向发展。现在,信号发生器带有微处理器,因而具备了自校、自检、自动故障诊断和自动波形形成和修正等功能,可以和控制计算机及其他测量仪器一起方便的构成自动测试系统。当前信号发生器总的趋势是向着宽频率覆盖、低功耗、高频率、精度、多功能、自动化和智能化方向发展。在科学研究、工程教育及生产实践中,如工业过程控制、教学实验、机械振动试验、动态分析、材料试验、生物医学等领域,常常需要用到低频信号发生器。而在我们日常生活中,以及一些科学研究中,锯齿波和正弦波、矩形波信号是常用的基本测试信号。譬如在示波器、电视机等仪器中,为了使电子按照一定规律运动,以利用荧光屏显示图像,常用到锯齿波产生器作为时基电路。信号发生器作为一种通用的电子仪器,在生产、科研、测控、通讯等领域都得到了广泛的应用。但市面上能看到的仪器在频率精度、带宽、波形种类及程控方面都已不能满足许多方面实际应用的需求。加之各类功能的半导体集成芯片的快速生产,都使我们研制一种低功耗、宽频带,能产生多种波形并具有程控等低频的信号发生器成为可能。 便携式和智能化越来越成为仪器的基本要求,对传统仪器的数字化,智能化,集成化也就明显得尤为重要。平时常用信号源产生正弦波,方波,三角波等常见波形作为待测系统的输入,测试系统的性能。单在某些场合,我们需要特殊波形对系统进行测试,这是传统的模拟信号发生器和数字信号发生器很难胜任的。利用单片机,设计合适的人机交互界面,使用户能够通过手动的设定,设置所需波形。该设计课题的研究和制作全面说明对低频信号发生系统要有一个全面的了解、对低频信号的发生原理要理解掌握,以及低频信号发生器工作流程:波形的设定,D/A 转换,显示和各模块的连接通信等各个部分要熟练联接调试,能够正确的了解常规芯片的使用方法、掌握简单信号发生器应用系统软硬件的设计方法,进一步锻炼了我们在信号处理方面的实际工作能力。 2 国内外研究现状 在 70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,需要采用较复杂的电路和机电结合的方法。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信

四位数字显示函数信号发生器的设计和制作

《综合电子技术》 课程设计指导书 四位数字显示函数信号发生器 的设计和制作 汤栋王尧编 三江大学 电气工程与自动化学院 二OO七年十二月

、设计目的

在《模拟电子技术》和《数字电子技术》课程学习和实验的基础上 ,通过《综合 电子技术》课程设计,使学生在电子技术基础知识和设计、调试能力方面达到以下要 求: 1. 进一步加深理解电子线路基本功能单元的工作原理及其电路设计、参数选择方 法; 2. 学会绘制电路原理图、接线图,学会正确安装、调试并排除常见故障; 3. 熟悉示波器、信号发生器、稳压电源及晶体管毫伏表的正确使用,重点要求学会 使用示波器观测信号波形、幅值。 二、 设计任务 设计一个能输出正弦波、锯齿波、矩形波等信号频率,并能数字显示(四位)频率的 多波形函数发生器。 三、 技术指标 该波形发生器的主要技术指标如下: 1. 可输出正弦波、锯齿波(含三角波)、矩形波(含方波)等波形; 2. 输出信号频率范围:1HZ~9999H 并能四位数码显示。 四、 系统框图和各功能单元介绍及要求 1. 系统框图:本设计为一具有四位数字显示频率的函数发生器,其系统框图如下: 图一系统框图 2. 各单元电路及要求: 1) 电源部分 设计一组土 1.2V ?土 20V 可调直流稳压电源 2) 信号源部分 正弦波信号源: 叵洼稳压电煩 士 I2V 正弦信号濒 T 柜形渡墙号腫T *输出,正弦疲 f\f\

输出正弦电压频率f o=1KHZ f o=1OKHZ M档; 输出正弦电压V O(有效值)0.5V?5V可调;输出直流偏移电压范围:O?± 3V; 矩形波信号源 输出矩形波电压频率:1KHZ、10KHZ两档;输出矩形波电压幅值: ± 5V;输出矩形波电压直流偏移电压范围: 0 ?± 3V; 锯齿波信号源 锯齿波频率:1KHZ、10KHZ两档;锯齿波电压幅值:± 4V;可输出正反向锯齿波及三角波; 3)秒信号源:产生周期为一秒的方波信号,作为测控时基信号。 4)控制单位:产生一系列顺序脉冲,用作计数,保持,显示和复位控制,使频率计按时序 正常工作。 5)偏移放大、整形电路:将输入正弦波、三角波等被测信号变换为方波脉冲序列,以便测 量其频率。 6)计数闸门:用于产生一秒钟内的被测信号脉冲个数,便于后面电路计数显示。 7)计数、译码、驱动和显示电路:在控制电路产生的顺序脉冲控制下,周期性地计数和显 示被测信号频率。 3. 选做部分 1 )频率显示时间延长; 2)加秒信号输出功能; 3)溢出指示。 五、设计要求 1.选择各部分电路结构,按上列指示要求,设计计算有关电路各参数,并最终选出元器件;2.画出各部分电路原理图及接线图,列出各电路元器件的明细表。(注意电路图中各元器件统一编号); 3.在原理图上标明各级电路预期的输出波形及测量值,并在接线图上选定测试点; 六、调试要求 1.列出各部分电路调试过程并自拟数据表格和所需测试的有关波形,做详细记录。 2.记录调试过程中出现的故障,经过分析并提出解决的办法。

基于DDS的数字移相信号发生器

EDA课程设计 课题名称_ 基于DDS的数字移相信号发生器 专业_ 电子信息工程____ _ _ 班级_____ _________ __ __ 学号_ 姓名_ __ __ 成绩_____ ____________ _ 指导教师___ _ ___ ___ 2014年 5 月7日

一、课程设计目的 (3) 二、设计任务 (3) 三、工作原理及模块分析 (3) 1、频率预置与调节电路 (4) 2、累加器 (4) 3、波形存储器 (4) 4、D/A转换器 (5) 四、相关程序 (5) 1、加法器 (5) (1)ADD10 (5) (2)ADD32 (7) 2、寄存器 (8) (1)REG10B (8) (2)REG32B (10) 3、ROM (11) 4、主程序 (13) 五、仿真结果: (16) 六、引脚配置和下载 (17) 七、实验心得 (18)

一、课程设计目的 1、进一步熟悉Quartus Ⅱ的软件使用方法; 2、熟悉利用VHDL设计数字系统并学习LPM_ADD_SUB、LPM ROM、LPM_FF 的使用方法; 3、学习FPGA硬件资源的使用和控制方法; 4、掌握DDS基本原理,学习利用此原理进行信号发生器的设计 二、设计任务 完成10位输出数据宽度的频率可调的移相正弦信号发生器,通过按键调节频率和初始相位,实现相位和频率可调的正弦信号发生器 三、工作原理及模块分析 直接数字频率合成器(DDS)是通信系统中常用到的部件,利用DDS可以制成很有用的信号源。与模拟式的频率锁相环PLL相比,它有许多优点,突出为(1)频率的切换迅速;(2)频率稳定度高。 一个直接数字频率合成器由相位累加器、波形ROM、D/A转换器和低通滤波器构成。DDS的原理框图如下所示: 频率预置与调节电路 累加器 累加器波形存储器 波形存储器D/A转换器 D/A转换器低通滤波器 低通滤波器K N位 N位 fc S(n) D位 S(t) 图1直接数字频率合成器原理图 其中K为频率控制字,fc为时钟频率,N为相位累加器的字长,D为ROM 数据位及D/A转换器的字长。相位累加器在时钟fc的控制下以步长K作为累加,输出N位二进制码作为波形ROM的地址,对波形ROM进行寻址,波形ROM输出的幅码S(n)经D/A转换器变成梯形波S(t),再经低通滤波器平滑后就可以得到合成的信号波形了。合成的信号波形形状取决于波形ROM中存放的幅码,因此用DDS可以产生任意波形。本设计中直接利用D/A转换器得到输出波形,省略了低通滤波器这一环节。

基于AD9850的信号发生器设计_毕业设计

基于AD9850的信号发生器设计 摘要 介绍ADI 公司出品的AD9850 芯片,给出芯片的引脚图和功能。并以单片机 AT89S52 为控制核心设计了一个串行控制方式的正弦信号发生器的可行性方案,给出了单片机AT89S52 与AD9850 连接电路图和调试通过的源程序以供参考。直接数字合成(DDS)是一种重要的频率合成技术,具有分辨率高、频率变换快等优点,在雷达及通讯等领域有着广泛的应用前景。系统采用AD9850为频率合成器,以单片机为进程控制和任务调度的核心,设计了一个信号发生器。实现了输出频率在10Hz~1MHz范围可调,输出信号频率稳定度优于10-3的正弦波、方波和三角波信号。正弦波信号的电压峰峰值V opp能在0~5V范围内步进调节,步进间隔达0.1v,所有输出信号无明显失真,且带负载能力强。该电路设计方案正确可行,频率容易控制,操作简单灵活,且具有广阔的应用前景。 关键词:信号发生器;直接数字频率合成;AD9850芯片;AT89S52单片机

Abstract On the basis of direct digital synthesis(DDS)principle, a signal generator was designed , using AT89S52 single chip machine as control device and adopting AD9850 type DDS device .Hardware design parameters were given .The system can output sine wave ,square wave with wide frequency stability and good waveform .The signal generator has stronger market competitiveness , with wide development prospect ,in frequency modulation technology and radio communication technology fields. Key words: signal generator ;direct digital synthsis;AD9850;AT89S52

函数信号发生器的设计与制作

函数信号发生器的设计、和装配实习 一.设计制作要求: 掌握方波一三角波一正弦波函数发生器的设计方法和测试技术。学会由分立器件和集成电路组成的多级电子电路小系统的布线方法。掌握安装、焊接和调试电路的技能。掌握在装配过程中可能发生的故障进行维修的基本方法。 二.方波一三角波一正弦波函数发生器设计要求 函数发生器能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形。其电路中使用的器件可以是分立器件,也可以是集成电路(如单片集成电路函数发生器ICL8038)。本次电子工艺实习,主要介绍由集成运算放大器和晶体管差分放大器组成的方波一三角波一正弦波函数信号发生器的设计和制作方法。 产生正弦波、方波、三角波的方案有多 种: 1:如先产生正弦波,然后通过整 形电路将正弦波变换成方波,再由积分 电路将方波变成三角波。 2:先产生三角波一方波,再将三 角波变成正弦波或将方波变成正弦波。 3 3:本次电路设计,则采用的图1函数发生器组成框图 是先产生方波一三角波,再将三角波变换成正弦波的电路设计方法。此钟方法的电路组成框图。如图1所示:可见,它主要由:电压比较器、积分器和差分放大器等三部分构成。 为了使大家能较快地进入设计和制做状态,节省时间,在此,重新复习电压比较器、积分器和差分放大器的基本构成和工作原理: ,并判所谓比较器,是一种用来比较输入信号v1和参考电压V REF 断出其中哪个大,在输出端显示出比较结果的电路。 在《电子技术基础》一书的9.4—非正弦波信号产生电路的9.4.1中,专门讲述了: A:单门限电压比较器、B:过零比较器 C:迟滞比较器的电路结构和工作原理。 一、单门限电压比较器 所谓单门限电压比较器,是指比较器的输入端只有一个门限电压。

简易信号发生器单片机课程设计报告

课程设计(论文)任务书 电气学院电力系统及其自动化专业12(1 )班 一、课程设计(论文)题目:简易信号发生器设计 二、课程设计(论文)工作自 2015年1 月12 日起至2015 年 1月16 日止。 三、课程设计(论文) 地点:电气学院机房 10-303 四、课程设计(论文)内容要求: 1.课程设计的目的 (1)综合运用单片机原理及应用相关课程的理论知识和实际应用知识,进行单片机应用系统电路及程序设计,从而使这些知识得到进一步的巩固,加深和发展;(2)熟悉和掌握单片机控制系统的设计方法,汇编语言程序设计及proteus 软件的使用; (3)通过查阅图书资料、以及书写课程设计报告可提高综合应用设计能力,培养独立分析问题和解决问题的能力。 2.课程设计的内容及任务 (1)可产生频率可调的正弦波(64个点)、方波、锯齿波或三角波。 (2)显示出仿真波形。 (3)通过按键选择输出波形的种类。 (4)在此基础上使输出波形的幅值可控。

3.课程设计说明书编写要求 (1)设计说明书用A4纸统一规格,论述清晰,字迹端正,应用资料应说明出处。(2)说明书内容应包括(装订次序):题目、目录、正文、设计总结、参考文献等。应阐述整个设计内容,要重点突出,图文并茂,文字通畅。 (3)报告内容应包括方案分析;方案对比;整体设计论述;硬件设计(电路接线,元器件说明,硬件资源分配);软件设计(软件流程,编程思想,程序注释,) 调试结果;收获与体会;附录(设计代码放在附录部分,必须加上合理的注释)(4) 学生签名: 2015年1月16 日 课程设计(论文)评审意见 (1)总体方案的选择是否正确;正确()、较正确()、基本正确()(2)程序仿真能满足基本要求;满足()、较满足()、基本满足()(3)设计功能是否完善;完善()、较完善()、基本完善()(4)元器件选择是否合理;合理()、较合理()、基本合理()(5)动手实践能力;强()、较强()、一般()(6)学习态度;好()、良好()、一般()(7)基础知识掌握程度;好()、良好()、一般()(8)回答问题是否正确;正确()、较正确()、基本正确()、不正确() (9)程序代码是否具有创新性;全部()、部分()、无() (10)书写整洁、条理清楚、格式规范;规范()、较规范()、一般()总评成绩优()、良()、中()、及格()、不及格() 评阅人:

(完整版)数字信号发生器的电路设计_(毕业课程设计)

1 引言 信号发生器又称信号源或者振荡器,它是根据用户对其波形的命令来产生信号的电子仪器,在生产实践和科技领域有着广泛的应用。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其他仪表测量感兴趣的参数。信号发生器在通信、广播、电视系统,在工业、农业、生物医学领域内,在实验室和设备检测中具有十分广泛的用途。 信号发生器是一种悠久的测量仪器,早在20年代电子设备刚出现时它就产生了。随着通信和雷达技术的发展,40年代出现了主要用于测试各种接收机的标准信号发生器,使信号发生器从定性分析的测试仪器发展成定量分析的测量仪器。自60年代以来信号发生器有了迅速的发展,出现了函数发生器,这个时期的信号发生器多采用模拟电子技术,由分立元件或模拟集成电路构成,其电路结构复杂,且仅能产生正弦波、方波、锯齿波和三角波等几种简单波形。到70年代处理器出现以后,利用微处理器、模数转换器和数模转换器,硬件和软件使信号发生器的功能扩大,产生比较复杂的波形。这时期的信号发生器多以软件为主,实质是采用微处理器对DAC的程序控制,就可以得到各种简单的波形。随着现代电子、计算机和信号处理等技术的发展,极大地促进了数字化技术在电子测量仪器中的应用,使原有的模拟信号处理逐步被数字信号处理所代替,从而扩充了仪器信号的处理能力,提高了信号测量的准确度、精度和变换速度,克服了模拟信号处理的诸多缺点,数字信号发生器随之发展起来。

信号发生器作为电子领域不可缺少的测量工具,它必然将向更高性能,更高精确度,更高智能化方向发展,就象现在在数字化信号发生器的崛起一样。但作为一种仪器,我们必然要考虑其所用领域,也就是说要因地制宜,综合考虑性价比,用低成本制作的集成芯片信号发生器短期内还不会被完全取代,还会比较广泛的用于理论实验以及精确度要求不是太高的实验。因此完整的函数信号发生器的设计具有非常重要的实践意义和广阔的应用前景。 2 数字信号发生器的系统总述 2.1 系统简介 信号发生器广泛应用于电子工程、通信工程、自动控制、遥测控制、测量仪器、仪表和计算机等技术领域。 本设计以AT89C52[1]单片机为核心设计了一个低频函数信号发生器。信号发生器采用数字波形合成技术,通过硬件电路和软件程序相结合,可输出自定义波形,如正弦波、方波、三角波、三角波、梯形波及其他任意波形,波形的频率和幅度在一定范围内可任意改变。波形和频率的改变通过软件控制,幅度的改变通过硬件实现。介绍了波形的生成原理、硬件电路和软件部分的设计原理。本系统主要包括CPU模块、显示模块、键盘输入模块、数模转换模块、波形输出模块。系统电路原理图见附录A,PCB (印制电路板)图见附录B。其中CPU模块负责控制信号的产生、变化及频率的改变;模数转换模块采用DAC0832实现不同波形的输出;显示模块采用1602液晶显示,实现波型和频率显示;键盘输入模块实

信号发生器概述

信号发生器概述 凡是产生测试信号的仪器,统称为信号源,也称为信号发生器,它用于产生被测电路所需特定参数的电测试信号。 信号源是根据用户对其波形的命令来产生信号的电子仪器。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在电子实验和测试处理中,并不测量任何参数,而是根据使用者的要求,仿真各种测试信号,提供给被测电路,以达到测试的需要。 信号源的分类和作用 信号源有很多种分类方法,其中一种方法可分为混和信号源和逻辑信号源两种。其中混和信号源主要输出模拟波形;逻辑信号源输出数字码形。混和信号源又可分为函数信号发生器和任意波形/函数发生器,其中函数信号发生器输出标准波形,如正弦波、方波等,任意波/函数发生器输出用户自定义的任意波形;逻辑信号发生器又可分为脉冲信号发生器和码型发生器,其中脉冲信号发生器驱动较小个数的的方波或脉冲波输出,码型发生器生成许多通道的数字码型。如泰克生产的AFG3000系列就包括函数信号发生器、任意波形/函数信号发生器、脉冲信号发生器的功能。 另外,信号源还可以按照输出信号的类型分类,如射频信号发生器、扫描信号发生器、频率合成器、噪声信号发生器、脉冲信号发生器等等。信号源也可以按照使用频段分类,不同频段的信号源对应不同应用领域。 下面我们将对函数信号发生器和任意波形/函数发生器做简要介绍: 1、函数信号发生器 函数发生器是使用最广的通用信号源,提供正弦波、锯齿波、方波、脉冲波等波形,有的还同时具有调制和扫描功能。 函数波形发生器在设计上分为模拟式和数字合成式。众所周知,数字合成式函数信号源(DDS)无论就频率、幅度乃至信号的信噪比(S/N)均优于模拟式,其锁相环(PLL)的设计让输出信号不仅是频率精准,而且相位抖动(phaseJitter)及频率漂移均能达到相当稳定的状态,但数字式信号源中,数字电路与模拟电路之间的干扰始终难以有效克服,也造成在小信号的输出上不如模拟式的函数信号发生器,如今市场上的大部分函数信号发生器均为DDS信号源。 2、任意波形发生器 任意波形发生器,是一种特殊的信号源,不仅具有一般信号源波形生成能力,而且可以仿真实际电路测试中需要的任意波形。在我们实际的电路的运行中,由于各种干扰和响应的存在,实际电路往往存在各种缺陷信号和瞬变信号,如果在设计之初没有考虑这些情况,有的将会产生灾难性后果。任意波发生器可以帮您完成实验,仿真实际电路,对您的设计进行全面的测试。 由于任意波形发生往往依赖计算机通讯输出波形数据。在计算机传输中,通过专用的波

基于51单片机的信号发生器设计报告

基于51单片机的信号发生器设计报告 二零一四年十二月十一日

摘要 根据题目要求以及结合实际情况,本文采用一种以AT89C51单片机为核心所构成的波形发生器,可产生方波、三角波、正弦波、锯齿波等多种波形,波形的频率可用程序改变,并可根据需要选择单极性输出或双极性输出,具有线路简单、结构紧凑、性能优越等特点。本设计经过测试,性能和各项指标基本满足题目要求。 关键词:信号发生器 DAC0832芯片 LM358运放 89C51芯片

目录 摘要...................................................................... 目录...................................................................... 第一章绪论................................................................. 1.1单片机概述........................................................... 1.2信号发生器的概述和分类.............................................. 1.3问题重述及要求....................................................... 第二章方案的设计与选择................................................... 2.1方案的比较........................................................... 2.2设计原理 ............................................................. 2.3设计思想 ............................................................. 2.4实际功能 ............................................................. 第三章硬件设计............................................................ 3.1硬件原理框图......................................................... 3.2主控电路 ............................................................. 3.3数、模转换电路....................................................... 3.4按键接口电路......................................................... 3.5时钟电路 ............................................................. 3.6显示电路 ............................................................. 第四章软件设计............................................................ 4.1程序流程图........................................................... 参考文献.................................................................... 附录1 电路原理图 .......................................................... 附录2 源程序............................................................... 附录3 器件清单......................................................

函数信号发生器设计报告

函数信号发生器设计报告 目录 一、设计要求 .......................................................................................... - 2 - 二、设计的作用、目的 .......................................................................... - 2 - 三、性能指标 .......................................................................................... - 2 - 四、设计方案的选择及论证 .................................................................. - 3 - 五、函数发生器的具体方案 .................................................................. - 4 - 1. 总的原理框图及总方案 ................................................................. - 4 - 2.各组成部分的工作原理 ................................................................... - 5 - 2.1 方波发生电路 .......................................................................... - 5 - 2.2三角波发生电路 .................................................................... - 6 - 2.3正弦波发生电路 .................................................................. - 7 - 2.4方波---三角波转换电路的工作原理 ................................ - 10 - 2.5三角波—正弦波转换电路工作原理 .................................. - 13 - 3. 总电路图 ....................................................................................... - 15 - 六、实验结果分析 ................................................................................ - 16 - 七、实验总结 ........................................................................................ - 17 - 八、参考资料 ........................................................................................ - 18 - 九、附录:元器件列表 ........................................................................ - 19 -

基于单片机的信号发生器设计

基于单片机的信号发生器设计

基于单片机的信号发生器 设计

摘要 在介绍MAX038 芯片特性的基础上,论述了采用MAX038 芯片设计数字函数信号发生器的原理以及整机的结构设计。对其振荡频率控制、信号输出幅度控制以及频率和幅度数显的实现作了较详细的论述。该函数信号发生器可输出三角波,方波和正弦波。 本文重点论述了整机通过D/A转换电路控制MAX038的实现过程,D/A转换电路采用了8位4通道的MAX505来实现。在幅度的控制上采用数字电位器AD5171,该芯片是I2C总线方式控制,文中给出了I2C总线的读写控制程序。系统支持按键操作和上位机操作两种模式。 关键词:函数信号;D/A ;单片机控制

Design of Signal Generator System Based on SCM Zisu zhou (College of Zhangjiajie, Jishou University, Jishou,Hunan 416000) Abstract Based on the introduction of MAX038 , we discussed the principle and the whole frame of the digital function signal generator. We described the control of the oscillatory frequent , amplitude and the digital display in detail. Thegenerator can output three kinds of waves : sine wave , square wave , triangle wave. This text has exposition the mirco-computer controls the D/A electric circuit of conversion realize the process. In D/A changing electric circuit adopt the 8 bit 4 channel come to realize. Porentiometer AD5171 is adopted in the control of length. This chip is that I2C bus control way. This system supports key-control or computer-control modes. Key words : function signal ;D/A ;single - chip microprocessor control ;

相关文档
最新文档