数字逻辑_chapter3_答案

数字逻辑_chapter3_答案
数字逻辑_chapter3_答案

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

数字逻辑试卷及答案

计算机学院 第二学期《数字逻辑》 期未考试试卷 A 卷 学号 班级 姓名 成绩 一、填空(每空1分,共14分) 1、(21.5)10=( )2=( )8=( )16 2、若0.1101x =-,则[]x 补=( ) 3、十进制数809对应的8421BCD 码是( ) 4、若采用奇校验,当信息位为10011时,校验位应是( ) 5、数字逻辑电路分为( )和( )两大类 6、电平异步时序逻辑电路的描述工具有( )、( )、( ) 7、函数()()F A B C D =+?+的反函数是( ) 8、与非门扇出系数N O 的含义是( ) 9、若要消除函数(,,)F A B C AB AC =+对应的逻辑电路可能存在的险象,则应增加的冗余项是( ) 二、选择题(每空2分,共16分) 从下列各题的四个答案中,选出一个正确答案,并将其代号填入括号内 1、数字系统采用( )可以将减法运算转化为加法运算 A .原码 B .余3码 C .Gray 码 D .补码 2、欲使J-K 触发器在CP 脉冲作用下的次态与现态相反,JK 的取值应为( ) A .00 B .01 C .10 D .11 3、对完全确定原始状态表中的6个状态,A 、B 、C 、D 、E 、F 进行比简,若有(A ,B ),(D 、E )等效,则最简状态表中只有( )个状态 A .2 B .4 C .5 D .6 4、下列集成电路芯片中,( )属于组合逻辑电路 A .计数器74290 B .寄存器74194 C .三一八译码器74138 D .集成定时器5G555 5、设计一个20进制同步计数器,至少需要( )个触发器 A .4 B .5 C .6 D .20 6、用5G555构成的多谐振荡器有( ) A .两个稳态 B .两个暂稳态

《数字逻辑》试卷2013(样题1)参考答案

华南理工大学 网络学院 《数字逻辑》试卷 考试时间:(120分钟) 班级: 姓名: 总分数: 一、 选择题(每小题1分,) 1 有两个十进制数数字的8421BCD 编码是10010001,则它们的余3码是 A 。 A . 1100 0100 B .1001 0100 C . 1001 0011 D . 1111 0001 2 若输入变量A 、B 全为1时,输出F=1,则其输入与输出的关系是 B 。 A .异或 B .与 C .非 D .或非 3 二进制数1100转换成十六进制数是 D 。 A .12 B .A C .B D .C 4 在求逻辑函数F 的反函数时,下列说法错误的是 C 。 A .“·”换成“+”,“+”换成“·” B .原变量换成反变量,反变量换成原变量 C .原变量不变 D .常数中的“0”换成“1”,“1”换成“0” 5 逻辑表达式(A+B )·(A+C )= B 。 A .AB+AC B .A+B C C .B+AC D .C+AB 6 组合逻辑电路通常是由 A 组合而成。 A .门电路 B .计数器 C .触发器 D .寄存器 7 时序逻辑电路中一定包含 C 。 A .译码器 B .移位寄存器 C .触发器 D .与非门 8 逻辑表达式F=AB+A C ,则它的对偶逻辑表达式F '= D 。 A .))((C A B A ++ B .A+B ·A+C C .A+B ·A+C D .(A+B )(A+C ) 9 设A 、B 、C 为逻辑变量,若已知AB=AC ,则 D 。 A .B=C B .B ≥ C C .B ≠C D .以上都有可能 10 一位十进制计数器至少需要 B 个触发器。 A .3 B .4 C .5 D .6 11 时序电路中必须有 C 。 A .输入逻辑变量 B .计数器 C .时钟 D .编码器 12 同步时序电路的分析与设计的重要工具是 D 。 A .状态表和波形图 B .状态图和特征方程 C .特征方程与波形图 D .状态表和状态图

数字逻辑课程三套作业及答案

数字逻辑课程三套作业及答 案 -标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

数字逻辑课程作业_A 一、单选题。 1.(4分)如图x1-229 (D)。 A. (A) B. (B) C. (C) D. (D) 知识点:第五章 解析第五章译码器 2.(4分)如图x1-82 (C)。 A. (A) B. (B) C. (C) D. (D) 知识点:第二章 解析第二章其他复合逻辑运算及描述 3.(4分)N个触发器可以构成最大计数长度(进制数)为(D)的计数器。 A. N B. 2N C. N2次方 D. 2N次方 知识点:第九章 解析第九章计数器

4.(4分)n个触发器构成的扭环型计数器中,无效状态有(D)个。 A. A. n B. B.2n C. C.2n-1 D. D.2n-2n 知识点:第九章 解析第九章集成计数器 5.(4分)如图x1-293 (A)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 6.(4分)如图x1-317 (D)。 A. (A) B. (B) C. (C) D. (D)

知识点:第二章 解析第二章其他复合逻辑运算及描述 7.(4分)EPROM是指(C)。 A. A、随机读写存储器 B. B、只读存储器 C. C、光可擦除电可编程只读存储器 D. D、电可擦可编程只读存储器 知识点:第十章 解析第十章只读存储器 8.(4分)如图x1-407 (B)。 A. (A) B. (B) C. (C) D. (D) 知识点:第十一章 解析第十一章数字系统概述 9.(4分)为实现将JK触发器转换为D触发器,应使(A)。

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

数字逻辑考题及答案解析

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、(20.57)8 =( 10.BC )16 2、(63.25) 10= ( 111111.01 )2 3、(FF )16= ( 255 )10 4、[X]原=1.1101,真值X= -0.1101,[X]补 = 1.0011。 5、[X]反=0.1111,[X]补= 0.1111。 6、-9/16的补码为1.0111,反码为1.0110 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。

4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分) 5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分

数字逻辑 习题与答案.(优选)

习题与答案 《数字逻辑与数字系统(第四版)》,白中英 第1章习题P30 7 证明下列等式 (2) AC AB C AB C B A ABC +=++ 证明: AB AC ABC C AB C B A ABC +=+++=左式 8 用布尔代数简化下列各逻辑函数表达式 (4) C AB C B BC A AC F +++= 解: BC BC BC A C B A BC C B A BC A ABC C B A C B BC A AC F =+=++=+++=++++=) () )(())()(( 9 将下列函数展开为最小项表达式 (1) )(),,(C B A C B A F += 解: ∑=+++++=+++++=+++=+++=+=() 7,6,5,4,1()()()()(),,(m C B A C B A C B A C B A C AB ABC C B A C B A C C B A C C AB C B A C B A B A AB C B A A B B A C B A C B A F 10 用卡诺图化简下列各式 (2) C B A D A B A D C AB CD B A F ++++= 解: C 由卡诺图知,D A B A F +=

(4) ∑∑ +=?)11,10,9,3,2,1()15,14,13,0(),,,(m D C B A F 解: A C AD AC B A F ++= 12 逻辑函数 A C C B B A X ++=,试用真值表、卡诺图、逻辑图、波形图表示该函数。 解:(1)真值表 (2)卡诺图 (3)逻辑图 (4)波形图 14 输入信号A ,B ,C 的波形如图P1.2所示,试画出电路输出F1、F2的波形图 B F C B A

数字逻辑电路试卷(附答案)

1.逻辑函数的两种标准形式分别为。 2.将2004个“1”异或起来得到的结果是(0)。 3.半导体存储器的结构主要包含三个部分,分别是(译码器)、(存储阵列)、(控制逻辑)。 4.A/D转换的四个过程是采样、保持、量化和(编码),其中采样脉冲的频率要求至少是模拟信号最高频率的(2)倍。 5.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为(5/128)v;当输入为10001000,则输出电压为(5*136/128)v。 6.就逐次逼近型和双积分型两种A/D转换器而言,(双积分型)的抗干扰能力强,(逐次逼近型)的转换精度高。 7.(61. 5)10 == (3D.8)16 = (10010001.1000)5421BCD; 8.已知某74ls00为2输入4与非门,I OL=22mA,I OH=2mA,I IL=2mA,I IH=40μA,则其低电平输出的扇出系数N OL=(11),其高电平输出的扇出系数N OH=(50); 9.函数的最小项表达式为F=(4.5.7),最大项表达式为(0.1.2.3.6) 10. 根据对偶规则和反演规则,直接写出的对偶式和反函数, Fd =(),=(); 11. 12.已知X=(-17),则X的8位二进制原码为(10001001),其8位二进制补码为(11110111); 13.T' 触发器的次态方程是(Qn+1 = ~Qn); 14.D触发器的次态方程是(); 15.根据毛刺的不同极性,可以将逻辑险象分为0型险象和1型险象,对于一个逻辑表达式,若在给定其它变量适当的逻辑值后,出现F= ()的情形,则存在1型险象;

数字逻辑心得体会(多篇范文)

数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动

了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发(请你支持)扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译码电路、奇偶检验电路、计算机i/o接口地址译码电路,设计火灾

数字逻辑电路期末考试卷及答案

- - 优质资料 期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷考试时间:110 分钟 XXXX 学院 ______________系级班 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F =B . C AB F += C .C A AB F += D .C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D .BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 得分 评卷人 装 订 线 内 请 勿 答 题

- 优 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 6. 与逻辑函数D C B A F +++=功能相等的表达式为___C_____。 A .D C B A F +++=D C B A F +++= .D C B A F ++= 7.下列所给三态门中,能实现C=0时,F=AB ;C=1时,F 为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP 脉冲的频率为100KHZ ,则输出Q 的频率为_____D_____。 A . 500KHz B .200KHz

《数字逻辑》期末考试A卷参考答案

《数字逻辑》期末考试 A 卷参考答案 、判断题:下面描述正确的打’/,错误的打‘X’(每小题1分,共10 分) 1、为了表示104个信息,需7位二进制编码[V ] 2、BCD码能表示0至15之间的任意整数[X ] 3、余3码是有权码[X ] 4、2421码是无权码[X ] 5、二值数字逻辑中变量只能取值 6、计算机主机与鼠标是并行通信 7、计算机主机与键盘是串行通信8、占空比等于脉冲宽度除于周期0和1,且表示数的大小[X ] [X ] [V ] [V ] 9、上升时间和下降时间越长,器件速度越慢[V ] 10、卡诺图可用来化简任意个变量的逻辑表达式[X ] 、写出图中电路的逻辑函数表达式。(每小题5分,共10分) 1、F=A B 2、F= AB CD 2分,共20分)

1、在图示电路中.能实现逻辑功能F = ATH 的电路是 A ° TTL 电路 (A) F = ABCD (B) F = AH ? CD - (C) F= A + B + C + D (D) F = A + B ? C + D (E) F= A BCD 4 . 己知F 二ABC + CD ■可以肯定使F = 0的情况是 _° (A) A=0, BC= 1; (B) B= 1 , C= 1 } (C) AB= 1, CD=Q. (D) BC= 1 , D= 1 5、逻辑函数A B+BCD+A C+ B C 可化简为A,B,C,D 。 (A) AB + AC + BC (B) AB + C (A4-B) (C) AB + CAB A — O ? =1 1 Q A |— I 1 F ?- & 1 Q A B L I l — (B) 悬0 ---- 空 。— A — & Bo — Co- & B A 3 ?满足如图所示电路的输岀函数F 的表达式为丄3 B 1 o — V OF

数字逻辑试题与答案(独家)

一、单项选择题 1、触发器有两个稳态,存储8位二进制信息要_ A、2 B、8 C、16 D、32 2、下列门电路属于双极型的是_ A、OC门 B、PMOS C、NMOS D、CMOS 3对于钟控RS触发器,若要求其输出“0”状态不变,则输入的RS信号应为_ A、RS=XD B、RS=OX C、RS=X1 D、RS=1X 4、下列逻辑电路中为时序逻辑电路的是_ A、变量译码器 B、加法器 C、数码存储器 D、数据选择器 5、同步时序电路和异步时序电路比较,其差异在于后者_ A、没有触发器 B、没有统一的时钟脉冲控制 C、没有稳定状态 D、输出只与内部状态有关 6、要构成容量为4K*8的RAM,需要_片容量为256*4的RAM。 A、2 B、4 C、8 D、32 7、一个容量为1K×8的存储器有个存储单元。 A.8 B.8K C.8000 D.8190 8、寻址容量为16K×8的RAM需要根地址线。 A.4 B.8 C.14 D.16 E.16K 9、若R A M的地址码有8位,行、列地址译码器的输入端都为4个,则它们的输 出线(即字线加位线)共有条。 A.8 B.16 C.32 D.256 二、多项选择 10、逻辑变量的取值1和0可以表示_ A开关的组合,断开B、电位的高低 C、真与假 D、电流的有,无 11、在何种输入情况下,“或非”运算的结果是逻辑0__ A、全部输入是0 B、全部输如是1 C、任一输入为0,其他输入为1 D、任一输入为1 12、三态门输出高阻状态时,__是正确的说法。 A、用电压表测量指针不动 B、相当于悬空 C、电压不高不低C、测量电阻指针不动 13、下列触发器中,克服了空翻现象的有_ A、边沿D触发器 B、主从RS触发器 C、同步RS触发器 D、主从JK触发器 三、判断题 14、8421码1001比0001大。 15、数字电路中用“1”和“0”分别表示两种状态,二者无大小之分。 16、因为逻辑表达式A+B+AB=A+B成立,所以AB=0成立。 17、优先编码器的编码信号时相互排斥的,不允许多个编码信号同时有效。 18、若两个函数具有相同的真值表,则两个逻辑函数必然相等。 19、D触发器没有记忆功能。 四、填空题 20、可以用____檫除EPROM中所存的信息。

数字电路(第二版)贾立新1数字逻辑基础习题解答

自我检测题 1.(26.125)10=(11010.001)2 =(1A.2)16 2.(100.9375)10=(1100100.1111)2 3.(1011111.01101)2=( 137.32 )8=(95.40625)10 4.(133.126)8=(5B.2B )16 5.(1011)2×(101)2=(110111)2 6.(486)10=(010*********)8421BCD =(011110111001)余3BCD 7.(5.14)10=(0101.00010100)8421BCD 8.(10010011)8421BCD =(93)10 9.基本逻辑运算有 与 、或、非3种。 10.两输入与非门输入为01时,输出为 1 。 11.两输入或非门输入为01时,输出为 0 。 12.逻辑变量和逻辑函数只有 0 和 1 两种取值,而且它们只是表示两种不同的逻辑状态。 13.当变量ABC 为100时,AB +BC = 0 ,(A +B )(A +C )=__1__。 14.描述逻辑函数各个变量取值组合和函数值对应关系的表格叫 真值表 。 15. 用与、或、非等运算表示函数中各个变量之间逻辑关系的代数式叫 逻辑表达式 。 16.根据 代入 规则可从B A AB +=可得到C B A ABC ++=。 17.写出函数Z =ABC +(A +BC )(A +C )的反函数Z =))(C A C B A C B A ++++)((。 18.逻辑函数表达式F =(A +B )(A +B +C )(AB +CD )+E ,则其对偶式F '= __(AB +ABC +(A +B )(C +D ))E 。 19.已知CD C B A F ++=)(,其对偶式F '=D C C B A +??+)(。 20.ABDE C ABC Y ++=的最简与-或式为Y =C AB +。 21.函数D B AB Y +=的最小项表达式为Y = ∑m (1,3,9,11,12,13,14,15)。 22.约束项是 不会出现 的变量取值所对应的最小项,其值总是等于0。 23.逻辑函数F (A ,B ,C )=∏M (1,3,4,6,7),则F (A ,B ,C )=∑m ( 0,2,5)。 24.VHDL 的基本描述语句包括 并行语句 和 顺序语句 。 25.VHDL 的并行语句在结构体中的执行是 并行 的,其执行方式与语句书写的顺序无关。 26.在VHDL 的各种并行语句之间,可以用 信号 来交换信息。 27.VHDL 的PROCESS (进程)语句是由 顺序语句 组成的,但其本身却是 并行语句 。 28.VHDL 顺序语句只能出现在 进程语句 内部,是按程序书写的顺序自上而下、一条一条地执行。 29.VHDL 的数据对象包括 常数 、 变量 和 信号 ,它们是用来存放各种类型数据

数字逻辑试卷及答案

数字逻辑试卷及答案 TTA standardization office【TTA 5AB- TTAK 08- TTA 2C】

《数字电子技术基础》期终考试试题(110分钟)一、填空题:(每空1分,共15分) =+的两种标准形式分别为()、 1.逻辑函数Y A B C ()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量为5v。若只有最低位为高电平,则输出电压为 ()v;当输入为,则输出电压为()v。 5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数 P=AB+AC写成“与或非”表达式,并用“集电极开路与非门”来实现。2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、 B、C的P、Q波形。 三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。

四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分) B C 六、用T触发器和异或门构成的某种电路如图5(a)所示,在示波器上观察到波形如图5(b)所示。试问该电路是如何连接的?请在原图上画出正确的连接图,并标明T的取值。(6分) 七、图6所示是16*4位ROM和同步十六进制加法计数器74LS161组成的脉冲分频电路。ROM中的数据见表1所示。试画出在CP信号连续作用下的D3、D2、D1、D0输出的电压波形,并说明它们和CP信号频率之比。(16分) 表1: 地址输入数据输出 A3 A2 A1 A0 D3 D2 D1 D0 0 0 0 0 0 0 0 1 1 1 1 1 0 0 0 0

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

数字逻辑习题及答案.

数字逻辑习题及答案 一. 填空题 1.一个触发器有Q和Q两个互补的输出引脚,通常所说的触发器的输出端是指 Q ,所谓置位就是将输出端置成 1 电平,复位就是将输出端置成 0 电平。 2.我们可以用逻辑函数来表示逻辑关系,任何一个逻辑关系都可以表示为逻辑函数的与或表达式,也可表示为逻辑函数的或与表达式。 3.计数器和定时器的内部结构是一样的,当对不规则的事件脉冲计数时,称为计数器,当对周期性的规则脉冲计数时,称为定时器。 4.当我们在计算机键盘上按一个标为“3”的按键时,键盘向主机送出一个ASCII码,这个ASCII码的值为 33H 。 5.在5V供电的数字系统里,所谓的高电平并不是一定是5V,而是有一个电压范围,我们把这个电压范围称为高电平噪声容限;同样所谓的低电平并不是一定是0V,而也是有一个电压范围,我们把这个电压范围称为低电平噪声容限。 二. 选择题 1.在数字系统里,当某一线路作为总线使用,那么接到该总线的所有输出设备(或器件)必须具有 b 结构,否则会产生数据冲突。 a. 集电极开路; b. 三态门; c. 灌电流; d. 拉电流2.TTL集成电路采用的是 b 控制,其功率损耗比较大;而MOS 集成电路采用的是 a 控制,其功率损耗比较小。 a. 电压; b.电流; c. 灌电流; d. 拉电流 3.欲将二进制代码翻译成输出信号选用 b ,欲将输入信号编成二进制代码选用 a ,欲将数字系统中多条传输线上的不同数字信号按需要选择一个送到公共数据线上选用 c ,

欲实现两个相同位二进制数和低位进位数的相加运算选用 e 。 a. 编码器; b. 译码器; c. 多路选择器; d. 数值比较器; e. 加法器; f. 触发器; g. 计数器; h. 寄存器 4. 卡诺图上变量的取值顺序是采用 b 的形式,以便能够用几何 上的相邻关系表示逻辑上的相邻。 a. 二进制码; b. 循环码; c. ASCII 码; d. 十进制码 5. 根据最小项与最大项的性质,任意两个不同的最小项之积为 0 ,任意两个不同的最大项之和为 1 。 a. 不确定; b. 0 ; c. 1 三. 简答题 1.分别写出(或画出)JK 、D 、T 和T ’四个触发器的特征方程、真 值表和状态转换图。 2.请分别完成下面逻辑函数的化简。 1). )DE C B A (*)E D )(C B A (F ++++++= 答:原式)DE C B A (*)]E D ()C B A ([+++++++= )DE )C B A ((*))DE )C B A ((++++++=)) C B A ()C B A ((DE DE )C B A ()C B A (+++++++++++= DE = 2). )EH D B A )(B A )(C A )(C B A (F +++++++= 答:原式的对偶式为: ) H E (ABD AB AC C AB 'F ++++= ))H E (BD B C C B (A ++++=)] H E (BD B B C [A ++++==A A )'A ()''F (===∴原式 3.请分别说明A/D 与D/A 转换器的作用,说明它们的主要技术指标, 并进一步说明在什么情况下必须在A/D 转换器前加采样·保持电路。 答:A/D 与D/A 转换器分别能够将模拟量转换成数字量与数字量转换 成模拟量,通过这样的转换电路,能够将模拟系统和数字系统联

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

数字逻辑试题及答案

期数字逻辑试题A 一、填空题(共15分,每空1分) 1、分别写出逻辑函数的五种表示方法()、()、()、()、()。2、数字逻辑电路的两大种类分别是()电路,()电路。 3、( )和( )是衡量A/D转换器D/A转换器性能优劣的主要指标。 4、消除竞争冒险的4种方法分别是()、()、()、()。 5、555定时器电路提供了一个复位电平为()和置位电平为()。 二、选择题(共10分,每题5分) 1、将(175)10十进制数转换为二进制数下列选择结果中正确的是()。 A、二进制数(11010111)2 B、二进制数(10110011)2 C、二进制数(10011010)2 D、二进制数(1010111 1)2 2、运用摩根定理变换Y=X Q Z 的表达式,下列选择中正确的是()。 A、Y=( X + Q + Z ) B、Y=(X + Q +Z) C、Y=(X + Q + Z) D、Y=( X + Q + Z ) 三、综合题(共20分,每题10分) 1、对如图电路分别写出E = 0 或E = 1 时,函数F 的逻辑表达式。 2、用图形法化简函数为最简与或式 F(A,B,C,D)=∑M(0,1,2,3,6,8)+∑D(10,11,12,13,14,15) 四、组合逻辑电路分析、设计题(共25分,1题10分,2题15分) 1、写出下图电路(电路由四个或非门组成)输出信号的逻辑表达式,并说明其功能。 F

2、用集成译码器74LS138实现下列组合逻辑函数 Z1=AB+AB+BC Z2=AB+BC+CA 30分,每题15分) 1、一个时序逻辑电路如下图所示(由两个D触发器组成),请画出其状态图。 CP 2、用JK触发器设计一个同步、上升沿触发的四进制计数器。状态图如下 /0 /0 /0 /1

数字逻辑_习题一_答案

〈习题一〉作业参考答案 1.4 如何判断一个7位二进制正整数A=a 1a 2 a 3 a 4 a 5 a 6 a 7是否是4的倍数。 答:只要a 6 a 7=00,A 即可被4整除。 1.10设[x]补=01101001,[y]补=10011101,求:1[]2x 补,1[]4x 补,1[ ]2y 补,1 []4 y 补,[]x -补,[]y -补。 答:(1)如[x]补=x 0x 1x 2…x n ,则1 []2 x 补= x 0x 0x 1x 2…x n-1. x n 。 所以,1[]2x 补=00110100.1,1[]4x 补=00011010.01,1 [ ]2 y 补=11001110.1,1 []4 y 补=11100111.01。 (2)如[x]补=x 0x 1x 2…x n ,[-x]补=012...1n x x x x +。 所以,[]x -补=10010111,[]y -补=01100011。 注意:公式(1)[x]补=x 0x 1x 2…x n ,则1 []2 x 补= x 0x 0x 1x 2…x n-1. x n (2)[x]补=x 0x 1x 2…x n ,[-x]补=012...1n x x x x + 一定要掌握。 1.11根据原码和补码的定义回答下列问题: (1)已知[x]补>[y]补,是否有x>y? (2)设-2n 0,则[x]补>[y]补。但显然x1000000, 即[x]补+10000>1000000。根据补码加法,则x 1=0,x 2~x 5任意。 或: [x]补=27+x ,所以x=[x]补-27 <-16,即11x 1 x 2 x 3 x 4 x 5<112,因此x 1 x 2 x 3 x 4 x 5<16。所以x 1=0,x 2 x 3 x 4 x 5任意。 1.16 完成下列代码之间的转换: (1)(0101 1001 1001 0111.0111)8421BCD =(5997.7)10。 (2)(359.25)10=(0110 1000 1100.01011)余3。 (3)(1010001110010101)余3=(0111 0000 0110 0010)8421BCD

相关文档
最新文档