数字电路复习指导2015

数字电路复习指导2015
数字电路复习指导2015

第一章 逻辑代数基础

一、本章知识点

1.码制定义、码的表示方法。

BCD 码的定义、常用BCD 码特点及表示十进制数的方法 2.逻辑代数的基本公式和常用公式。 掌握逻辑代数的基本公式和常用公式 3.逻辑代数的三个基本定理。 定义、应用

4.逻辑函数的表示方法及相互转换。

5.逻辑函数最小项之和的标准形式。

6.逻辑函数的化简。 公式法化简逻辑函数

卡诺图法化简逻辑函数的基本原理及化简方法

二、例题

1.BCD 码和十进制数相互转换

根据BCD 码的编码规则,四位一组对应十进制数。 (1)(10110010110)余3码 = (263)10 (2)596)10 = (10110010110)8421码 2.分别求下列函数的对偶式Y ‘和反函数Y (1)D C B A Y ++=)(

D C B A Y ?+?=)(' D C B A Y ?+?=)(

(2)D A C B A Y ++=

)()('D A C B A Y +??+= D C B A Y ?+?=)(

3.写出函数B A AB Y +=的及非-及非式。

B A AB Y ?=

4.将下列函数展成最小项之和的标准形式 (1)Y=C B B A ?+?

C

B A

C B A C B A C B A C B A C B A C B A A A C B C C B A Y ??+??+??=??+??+??+??=+??++??=)()(

(2)

Q R S Y +=

SRQ

Q SR Q R S Q R S Q R S S S Q R Q Q R R S Q R S Y ++++=++++=+=)())((

5.假设开关闭合用1表示,开关断开用0表示,电灯亮用1表示,不亮用0表示,试写出图示开关电路的真值表和逻辑表达式。 解答:略

6.用公式法化简下列函数

(1) C AB C B BC A AC C B A Y +++=),,(

C

C AB C C

AB B B A A C C AB C B BC A AC C B A Y =+=+++=+++=)(),,(

(2)D D C C B C A AB Y ++++=

1

1)()()(=++=++++=++++=++++=D C D C B A AB D C C B C A AB D D C C B C A AB Y

7.用卡诺图化简下列逻辑函数 (1)∑=

)15,14,13,12,11,10,6,5,4,2(),,,(m D C B A Y

D C AC C B Y ++=

(2)∑∑+=

)11,9,8,3,1,0()15,12,7,6,4,2(),,,(d m D C B A Y

C A C

D D C Y ++=

(3)

:)9,8,7,5,2,1,0(),,,(=+=∑AC AB m D C B A Y 约束条件

第二章门电路

一、本章重点

1.各类门电路的符号及功能。

2.TTL电路的外特性及其应用。

3.CMOS电路的外特性及其应用。

二、本章知识点

(一) 基本概念

1.熟练掌握各种门电路的功能及逻辑符号。

2.熟记TTL、CMOS门的主要电气参数(高低电平的典型值、转折电压值)。

3.正确理解噪声容限的概念。

4.正确理解哪些TTL门电路可以将输出端并联使用。

5.正确理解门电路多余输入端的处理方法(应该接什么逻辑电平)。

6.熟练掌握TTL门电路输入端的负载特性,开门电阻值、关门电阻值,会判断输入端在接不同负载电阻时所对应的相应逻辑值。

7.熟练掌握TTL门电路的输入端、输出端电压电流关系特性(在输入高、低电平时相应的电流方向及大小)。

8.熟练掌握门输出端连接同类门的最多个数的计算方法。

(二) 简要分析

熟练掌握各种功能门电路的逻辑功能。

熟练掌握TTL门电路输入端的负载特性、输入/输出端的电压电流关系特性,会判断各种情况下输入端的逻辑值。

熟练掌握集电极开路门的线及结构、三态门工作状态的判断、CMOS传输门工作状态的判断。

在掌握以上知识点的前提下,具备以下分析能力:

1.根据各种门电路的给定接法,写出相应的输出逻辑表达式。

2.根据各种门电路的给定接法,求出相应的输出逻辑值。

3.根据各种门电路的给定接法、及输入波形,画出相应的输出波形。

4.根据给定的门电路的输入、输出参数,计算能最多驱动多少个门。或计算其它参数。

三、例题

1.已知图示TTL门电路的输入端波形,试分别画出Y1、Y2、Y3、Y4的输出波形。

解:波形如图所示

2指出下图中由TTL门电路组成的逻辑电路的输出是什么(高电平、低电平、高阻)?

解:Y1= 低电平Y2= 高电平Y3= 高阻Y4= 高电平

3.下图电路均由TTL 门组成,R ON =2K ,R OFF =0.7K ,试分别写出输出函数的表达式。

解:1100Y A C B =?+?+=

2Y A B C D =+?+

3Y AB C ABC ABC A C BC ABC =⊕=+=++

4.已知CMOS 逻辑电路如图所示,试写出输出逻辑函数Y1、Y2的表达式。

解:1Y A = 2Y AC BC =+ 5.TTL 门电路如图所示。

(1)图中多余输入端B 应接 。

(2)为使图中电路F 1=f (A,C)正常工作,该电路是否还有错误?为什么?如有错误,请改正。 在上述(1)、(2)问题解决后:

(3)如A=1、C=0,1门输出Y ,F 1= ; 如A=1、C=1,1门输出Y ,F 1= ;

解:

(1)图中多余输入端B 应接 低电平 。

(2)或非门输入端通过10K 电阻接地,相当于常接高电平,封锁了或非门,使它出低电平,及A 、C 无关了。因此,为使图中电路F 1=f (A,C)正常工作,该电路确实有错误。 改正:把10K 电阻改换为小于700Ω的电阻即可。 (3)如A=1、C=0,1门输出Y 0 ,F 1= 1 ; 如A=1、C=1,1门输出Y 高阻 ,F 1= 0 ;

6.在图示电路中,当开关S 闭合时,要求门电路的输入电压V IL <0.4V ;当S 断开时,要求门电路的输入电压V IH >4V 。G1~G3为74LS 系列TTL 门,输入电流I IL =-0.4mA 、I IH =20μA ,试求电阻R 1、R 2的最大允许值。

解:1) 开关S 闭合时,输入低电平

140.4IL IL V I R =?< ……. ①

2) 开关S 断开时,输入高电平

12()44IH cc IH V V R R I =-+?> …….. ② 由①和②式得 R 1<250Ω,R 1+R 2<12500Ω 所以,R1最大250Ω,R2最大12500Ω

推广:(1)如门改成及非门、或非门后如何计算?

(2)如门的个数改变后如何计算?

(3)如门的输入端个数改变后如何计算?

7.在图示电路中,已知门的输入电流为I IL= -1.6mA、I IH=40μA,输出电流I OL(max)=16mA、

I OH(max)=-0.4mA,计算门G m能驱动多少同样的或非门。

解:见习题

推广:(1)如负载门改成非门、及非门后如何计算?

(2)如门的输入端个数改变后如何计算?

第三章组合逻辑电路

一、本章知识点

(一)概念

1.组合电路:电路在任一时刻输出仅取决于该时刻的输入,而及电路原来的状态无关。

电路结构特点:只有门电路,不含存储(记忆)单元。

2.编码器的逻辑功能:把输入的每一个高、低电平信号编成一个对应的二进制代码。

优先编码器:几个输入信号同时出现时,只对其中优先权最高的一个进行编码。

3.译码器的逻辑功能:输入二进制代码,输出高、低电平信号。

显示译码器:半导体数码管(LED数码管)、液晶显示器(LCD)

4.数据选择器:从一组输入数据中选出某一个输出的电路,也称为多路开关。

5.加法器

半加器:不考虑来自低位的进位的两个1位二进制数相加的电路。

全加器:带低位进位的两个 1 位二进制数相加的电路。

超前进位加法器及串行进位加法器相比虽然电路比较复杂,但其速度快。

6.数值比较器:比较两个数字大小的各种逻辑电路。

7.组合逻辑电路中的竞争一冒险现象

竞争:门电路两个输入信号同时向相反跳变(一个从1变0,另一个从0变1)的现象。

竞争-冒险:由于竞争而在电路输出端可能产生尖峰脉冲的现象。

消除竞争一冒险现象的方法:接入滤波电容、引入选通脉冲、修改逻辑设计

(二)组合逻辑电路分析

熟练掌握:由门、译码器、数据选择器、ROM等器件构成的组合电路的分析方法。

(三)组合逻辑电路设计

熟练掌握:由门、译码器、数据选择器、ROM等器件设计组合电路的方法。

注:ROM构成组合电路的方法见第七章

设计步骤:

1.逻辑抽象,列真值表

设计要求----文字描述的具有一定因果关系的事件

逻辑要求---真值表

(1)设定变量--根据因果关系确定输入、输出变量;

(2)状态赋值:定义逻辑状态的含意

输入、输出变量的两种不同状态分别用0、1代表。

(3)列真值表

2.由真值表写出逻辑函数式

真值表→函数式(有时可省略)

3.选定器件的类型

可选用小规模门电路、中规模常用组合逻辑器件或存储器、可编程逻辑器件 4.逻辑式化简或变换式

(1)用门电路进行设计:从真值表→卡诺图/公式法化简

(2)用中规模常用组合电路设计:把函数式变换为及所用器件逻辑表达式相似的形式 (3)使用存储器、可编程逻辑器件设计组合电路 5.画出逻辑图

原理性设计(逻辑设计)完成。

二、例题

1.组合电路如图所示,分析该电路的逻辑功能。

解:

(1)由逻辑图逐级写出逻辑表达式

ABC P =

CP BP AP L ++=ABC C ABC B ABC A ++= (2)化简及变换

C B A ABC C B A ABC C B A ABC L +=+++=++=)((3)由

表达式列出真值表

(4)分析逻辑功能

由真值表可知,当A 、B 、C 三个变量不一致时,电路输出为“1”,所以这个电路称为“不一致电路”。

2.第四版书P179 图T

3.3 、图T3.4、P 401 图P7.7等组合电路的分析

3.设计一个监视交通信号灯工作状态的逻辑电路。正常情况下,红、黄、绿灯只有一个亮,否则视为故障状态,发出报警信号,提醒有关人员修理。

要求:(1)用门电路实现;(2)用3-8线译码器实现;(3)用4选1数据选择器实现;(4)用ROM 设计。

A B C

L

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

《数字电路》期末模拟试题及答案

- 1 - 一、填空题 1. PN 结具有单向导电性。正向偏置时,多子以扩散运动为主,形成正向电流;反向 偏置时,少子漂移运动,形成反向饱电流。 2. 双极型晶体三极管输出特性曲线的三个工作区是放大区、截止区、饱和区。 3. 已知三态与非门输出表达式C AB F ?=,则该三态门当控制信号C 为高电平时, 输出为高阻态。 4. 十进制数211转换成二进制数是(11010011)2;十六进制数是(D3)16。 5. 将若干片中规模集成电路计数器串联后,总的计数容量为每片计数容量的乘积。 6. 若用触发器组成某十一进制加法计数器,需要四个触发器,有五个无效状态。 7. 同步RS 触发器的特性方程为n 1n Q R S Q +=+;约束方程为RS=0 。 8. 下图所示电路中,Y 1 =B A Y 1=;Y 2 = ;Y 3 =AB Y 3= 二、选择题 1. 下列函数中,是最小项表达式形式的是____ c _____。 A. Y=A+BC B. Y=ABC+ACD C. C B A C B A Y +?= D. BC A C B A Y +?= 2. 要实现n 1n Q Q =+,JK 触发器的J 、K 取值应为__d ___。 A . J=0,K=0 B. J=0,K=1 C. J=1,K=0 D. J=1,K=1 3.数值[375]10与下列哪个数相等_b __。 A . [111011101]2 B. [567]8 C. [11101110]BCD D. [1F5]16 4.属于组合逻辑电路的是_____b ______ A . 触发器 B. 全加器 C. 移位寄存器 D. 计数器 5.M 进制计数器状态转换的特点是:设定初态后,每来_c __个计数脉冲CP ,计数器重 新 B 2 B V CC Y 1

数字电子技术试题及答案(题库)

《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码时,它相当于 十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. );Y 3 =( )。

12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。

数字电子技术基础期末考试试卷及答案

数字电子技术基础期末考试试卷及答案 Document serial number【KKGB-LBS98YT-BS8CB-BSUT-BST108】

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为 12 条、数据线为 8 条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:(C )图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是( D)。 A、或非门 B、与非门 C、异或门 D、OC门

3.对CMOS与非门电路,其多余输入端正确的处理方法是(D )。 A、通过大电阻接地(>Ω) B、悬空 C、通过小电阻接地(<1KΩ) B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C )。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为( C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C )。

模拟数字电路基础知识

第九章 数字电路基础知识 一、 填空题 1、 模拟信号是在时间上和数值上都是 变化 的信号。 2、 脉冲信号则是指极短时间内的 电信号。 3、 广义地凡是 规律变化的,带有突变特点的电信号均称脉冲。 4、 数字信号是指在时间和数值上都是 的信号,是脉冲信号的一种。 5、 常见的脉冲波形有,矩形波、 、三角波、 、阶梯波。 6、 一个脉冲的参数主要有 Vm 、tr 、 Tf 、T P 、T 等。 7、 数字电路研究的对象是电路的输出与输入之间的逻辑关系。 8、 电容器两端的电压不能突变,即外加电压突变瞬间,电容器相当于 。 9、 电容充放电结束时,流过电容的电流为0,电容相当于 。 10、 通常规定,RC 充放电,当t = 时,即认为充放电过程结束。 11、 RC 充放电过程的快慢取决于电路本身的 ,与其它因素无关。 12、 RC 充放电过程中,电压,电流均按 规律变化。 13、 理想二极管正向导通时,其端电压为0,相当于开关的 。 14、 在脉冲与数字电路中,三极管主要工作在 和 。 15、 三极管输出响应输入的变化需要一定的时间,时间越短,开关特性 。 16、 选择题 2 若一个逻辑函数由三个变量组成,则最小项共有( )个。 A 、3 B 、4 C 、8 4 下列各式中哪个是三变量A 、B 、C 的最小项( ) A 、A B C ++ B 、A BC + C 、ABC 5、模拟电路与脉冲电路的不同在于( )。 A 、模拟电路的晶体管多工作在开关状态,脉冲电路的晶体管多工作在放大状态。 B 、模拟电路的晶体管多工作在放大状态,脉冲电路的晶体管多工作在开关状态。 C 、模拟电路的晶体管多工作在截止状态,脉冲电路的晶体管多工作在饱和状态。 D 、模拟电路的晶体管多工作在饱和状态,脉冲电路的晶体管多工作在截止状态。 6、己知一实际矩形脉冲,则其脉冲上升时间( )。 A 、.从0到Vm 所需时间 B 、从0到2 2Vm 所需时间 C 、从0.1Vm 到0.9Vm 所需时间 D 、从0.1Vm 到 22Vm 所需时间 7、硅二极管钳位电压为( ) A 、0.5V B 、0.2V C 、0.7V D 、0.3V 8、二极管限幅电路的限幅电压取决于( )。 A 、二极管的接法 B 、输入的直流电源的电压 C 、负载电阻的大小 D 、上述三项 9、在二极管限幅电路中,决定是上限幅还是下限幅的是( ) A 、二极管的正、反接法 B 、输入的直流电源极性 C 、负载电阻的大小 D 、上述三项 10、下列逻辑代数定律中,和普通代数相似是( ) A 、否定律 B 、反定律 C 、重迭律 D 、分配律

数字电子技术考试题及答案

太原科技大学 数字电子技术 课程试卷 B 卷 一、单选题(20分,每小题1分)请将本题答案全部写在下表中 1、8421BCD 码10000001转化为十六进制数是( )。 A 、15 B 、51 C 、81 D 、18 2、n 位二进制数的反码或其原码,表示的十进制数是( )。 A 、21n - B 、2n C 、1 2n - D 、2n 3、TTL 与非门多余输入端的处理是( )。 A 、接低电平 B 、任意 C 、 通过 100W 电阻接地 D 、通过 100k W 电阻接地 4、OD 非门在输入为低电平(输出端悬空)情况下,输出为( )状态。 A 、高电平 B 、低电平 C 、开路 D 、不确定 5、与()Y A B A =e e 相等的逻辑函数为( )。 A 、Y B = B 、Y A = C 、Y A B =? D 、Y A B =e 6、下列(,,)F A B C 函数的真值表中1Y =最少的为( )。 A 、Y C = B 、Y AB C = C 、Y AB C =+ D 、Y BC C =+ 7、( )是组合逻辑电路的特点。 A 、输出仅取决于该时刻的输入 B 、后级门的输出连接前级门的输入 C 、具有存储功能 D 、由触发器构成 8、半加器的两个加数为A 和B ,( )是进位输出的表达式。 A 、AB B 、A B + C 、AB D 、AB 9、欲使JK 触发器1 n Q Q +=,J 和K 取值正确的是( )。 A 、,J Q K Q == B 、J K Q == C 、0J K == D 、,1J Q K == 10、字数为128的ROM 存储器存储容量为1204位,字长为( )位,地址线为( )根。 A 、8,8 B 、8,7 C 、4,7 D 、4,8 11、一个四位二进制减法计数器初始状态为0110,经过101个脉冲有效沿触发后,它的输出是 ( )。 A 、0000 B 、0001 C 、0011 D 、0010 12、要用1K×8的RAM 扩展成8K×16的RAM ,需选用( )译码器。 A 、 3线-8线 B 、2线-4线 C 、1线-2线 D 、4线-16线

《数字电路》期末模拟试题及答案 3

1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于___ ___偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A -B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1 =______,其约束方程为:______。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___个输入 端,____输出端。 8. 下图所示电路中,Y 1 Y 3 =______。 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F * 为________。 A .()()D C B A ++ B. ()()D C B A ++ C. ()()D C B A ++ 3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B . 每个与项中含有的变量个数少 C . 化简结果具有唯一性 A 1 A B 3

5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B . AB C Y = C .C AB Y += D .C C B Y += 化简下列逻辑函数,写出最简与或表达式: 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A + ++? 分析设计题: 1.双四选一数据选择器如图所示,其功能表达式如下。现要实现八选一数据选择器的功能(地址信号为 A 2A 1A 0,数据输入端信号为 D 7 ~ D 0 ) ,请画出电路连接图。 1A A A A D Y =(2D Y =( 2.TTL

数字电子技术基础-康华光第五版答案

第一章数字逻辑习题 1.1 数字电路与数字信号 1.1.2 图形代表的二进制数 010110100 1.1.4 一周期性数字波形如图题所示,试计算:(1)周期;(2)频率;(3)占空比例 MSB LSB 0 1 2 11 12 (ms) 解:因为图题所示为周期性数字波,所以两个相邻的上升沿之间持续的时间为周期, T=10ms 频率为周期的倒数,f=1/T=1/0.01s=100HZ 占空比为高电平脉冲宽度与周期的百分比,q=1ms/10ms*100%=10% 1.2 数制 1.2.2 将下列十进制数转换为二进制数,八进制数和十六进制数(要求转换误差不大于2?4(2)127 (4)2.718解:(2)(127)D= 27 -1=(10000000)B-1=(1111111) B=(177)O=(7F)H (4)(2.718)D=(10.1011)B=(2.54)O=(2.B)H 1.4 二进制代码 1.4.1 将下列十进制数转换为8421BCD 码: (1)43 (3)254.25 解:(43)D=(01000011)BCD 1.4.3 试用十六进制写书下列字符繁荣ASCⅡ码的表示:P28 (1)+ (2)@ (3)you (4)43 解:首先查出每个字符所对应的二进制表示的ASCⅡ码,然后将二进制码转换为十六进制数表示。 (1)“+”的ASCⅡ码为0101011,则(00101011)B=(2B)H (2)@的ASCⅡ码为1000000,(01000000)B=(40)H (3)you 的ASCⅡ码为本1111001,1101111,1110101,对应的十六进制数分别为79,6F,75 (4)43 的ASCⅡ码为0110100,0110011,对应的十六紧张数分别为34,33 1.6 逻辑函数及其表示方法 1.6.1 在图题1. 6.1 中,已知输入信号A,B`的波形,画出各门电路输出L 的波形。

数字电路复习题及答案

数字电路复习题及答案

数字电路复习题 (注意:以下题目是作为练习和考试题型而设,不是考题,大家必须融会贯通,举一反三。)1、逻辑电路可以分为组合逻辑电路电路和时序逻辑电路电路。 2、数字电路的基本单元电路是门电路和触发器。 3、数字电路的分析工具是逻辑代数(布尔代数)。 4、(50.375)10 = (110010.011)2 = (32.6)16 5、3F4H = (0001000000010010 )8421BCD 6、数字电路中的最基本的逻辑运算有与、或、非。 7、逻辑真值表是表示数字电路输入和输出之间逻辑关系的表格。 8、正逻辑的与门等效于负逻辑的或门。 9、表示逻辑函数的4种方法是真值表、表达式、卡诺图、逻辑电路图。 其中形式惟一的是真值表。 10、对于变量的一组取值,全体最小项之和为

1。 11、对于任意一个最小项,只有一组变量的取值 使其值为1,而在变量取其他各组值时 这个最小项的取值都是0。 12、对于变量的任一组取值,任意两个最小项之 积为0。 13、与最小项ABC相邻的最小项有C A。 AB、C B A、BC 14、组合逻辑电路的特点是输出端的状态只由同一时刻输入端的状态所决定,而与先前的状态没有关系(或输出与输入之间没有反馈延迟通路;电路中不含记忆元件)。 15、按电路的功能分,触发器可以分为RS、JK、 D、T、 T’。 16、时序电路可分为同步时序逻辑电路和异步时序逻辑电路两种工作方式。 17、描述时序电路逻辑功能的方法有逻辑方程组(含驱动方程、输出方程、 状态方程)、状态图、状态表、时序图。 18、(251)10 =(11111011)2 =(FB)16 19、全体最小项之和为 1 。 20、按照使用功能来分,半导体存储器可分为

数字电路的期末试题及标准答案

数字电路的期末试题 一、客观题:请选择正确答案,将其代号填入()内;(本大题共10小题,每空2分,共20分) ⒈当某种门的输入全部为高电平,而使输出也为高电平者,则这种门将是: A.与非门及或非门; B.与门及或门; C.或门及异或门; D.与门及或非门.( B ) ⒉在如下所列4种门电路中,与图示非门相等效的电路是:( B ) ⒊已知,则函数F和H的关系,应是:( B ) A.恒等; B.反演; C.对偶; D.不确定. ⒋若两个逻辑函数恒等,则它们必然具有唯一的:(A) A.真值表; B.逻辑表达式; C.电路图; D.逻辑图形符号. ⒌一逻辑函数的最小项之和的标准形式,它的特点是:(C) A.项数最少; B.每个乘积项的变量数最少; C.每个乘积项中,每种变量或其反变量只出现一次; D.每个乘积项相应的数值最小,故名最小项. ⒍双向数据总线可以采用( B )构成。 A.译码器; B.三态门; C.与非门; D.多路选择器. ⒎在下列逻辑部件中,不属于组合逻辑部件的是( D )。 A.译码器; B.编码器; C.全加器; D.寄存器. ⒏八路数据选择器,其地址输入端(选择控制端)有( C )个。

A.8个 B.2个 C.3个 D.4个 ⒐为将D触发器转换为T触发器,如图所示电路的虚线框内应是( D )。 A.或非门 B.与非门 C.异或门 D.同或门 ⒑为产生周期性矩形波,应当选用( C )。 A.施密特触发器 B.单稳态触发器C.多谐振荡器 D.译码器 二、化简下列逻辑函数(每小题5分,共10分) ⒈用公式法化简逻辑函数: ⒉用卡诺图法化简逻辑函数:Y(A,B,C,D)=∑m(2 ,3,7,8,11,14) 给定约束条件为m0+m5+ m10+m15=0 三、非客观题(本题两小题,共20分) ⒈如图所示为三输入变量的或门和与门的逻辑图。根据两种不同的输入波形(见图b),画出Y1、Y2的波形。(本题共8分,每个Y1、Y2各 2分) 解

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

数字电路期末试卷及答案A

系名____________班级____________姓名____________学号____________ 密封线内不答题 2011 —— 2012 学年第 2 学期 课程名称: 数字电子技术基础 使用班级:11级电子、通信、控制本科 一、 填空、单选题(在括号内填入所选序号)(每小题2分、共20分) 1、5F.8 16 =(___________) 10 =(___________) BCD 8421 2、一个四位二进制递减计数器的初态为1110,经过三个计数脉冲后,该计数器的状态 为_________。 3、要用1K ×4的RAM 构成存储容量为4K ?16位的存储器,需要用 _________片进行扩展。 4、A/D 转换器用以将输入的_________转换成相应_________输出的电路。 5、偏离状态能在计数脉冲作用下自动转入有效状态的特性,称为__________特性。 6、如果F (A,B,C )=∑)7,5,4,2,0(m ,那么F (A,B,C)=M ∏( ) 。 A. 0,2,4,5,7 B . 1,3,6 C . 0,2,3,5,7 D . 1,4,6 7、已知D/A 转换器的最小输出电压为10mv ,最大输出电压为2.5v ,则应选用( )位的D/A 转换器。 A . 7 B . 8 C. 9 D . 10 8、存储容量为256×8的RAM 有( )根地址输入线。 A . 8 B. 256 C . 10 D . 11 9、TTL 电路中三极管作为开关时工作区域是( )。 A. 饱和区+放大区 B. 饱和区+截止区 C. 放大区+击穿区 D. 击穿区+截止区 10、4位输入的二进制译码器,其输出端有( )位。 A. 16 B. 8 C. 4 D. 2 二、逻辑函数简化及变换 (共15分) 1、用公式法将下面的逻辑函数式化简为最简与—或表达式。(6分)

数字电路试题及答案后面附带1doc

数字电路模拟题(答案附后) 注:此为上次考试模拟卷和答案,与本次模拟卷题目相同,但顺序不同,以此卷做参考。 一、 1、数制转换(其中B表示二进制,D表示十进制,H表示十六进制) (1)(10110)B=( )D (2)(0.1011)B=( )D (3)(3B)H=( )D (4) (0.35) H=()D (5) (0.34)D=()H=( )B (6) (1011.101) B=( )D (7) (3F) H =( )D (8) (0.8125) D=( )B (9) (173) D=( )H (10) (0101.0110)B=( )D (11) (8FA.C6)=( )B (12) (0.35 )H = ( )D (13) (73)D =( )H 2、利用逻辑代数的基本公式和常用公式化减下列各式 3、指出下列存储系统各具有多少个存储单元,至少需要几根地址线和数据线? 4、设存储器的起始地址为全0,试指出下列存储系统的最高地址为多少? 二、如图所示为由NMOS管构成的逻辑电路。试写出其逻辑表达式并说明它是什么逻辑电路? 三、双互补对与反相器引出端如图所示,试连接成3输入端或非门。

四、试分析如图所示逻辑电路的功能,写出逻辑表达式和真值表。 1、 2、 3、 五、1、试用三个3输入端与门,一个或门和非门实现语句“A>B”,A和B均为两位二进制数。 2、试用三个3输入端与门,一个或门和数个非门实现语句“A>B”,A和B均为两位二进制数。 3、分别写出同步RS、T触发器的特性表和特性方程。 4、用2输入与非门和反相器设计一个三位的奇偶校验器,即当3位数中有奇数个1时输出为1,否则输出为0。 六、电路如图所示,写出驱动方程、状态方程、列出状态表、画出状态图,并确定逻辑功能。 1、

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

数字电路期末考试试卷及答案

2010-2011学年度第一学期 09级电子技术基础(数字部分)期末考试试卷 一、填空题(本大题共15小题,每空1分,总计30分) 1、 (127)10= ( )2= ( ) 8421BCD。 2、5个变量可构成个最小项,全体最小项之和为。 3、基本逻辑运算有、、 3种。 4、描述逻辑函数各个变量取值组合和函数值对应关系的表格叫。 5、3线—8线译码器74LS138处于译码状态时,当输入A2A1A0=011时,输出= 。 6、对于T触发器,当T= 时,触发器处于保持状态。 7、某计数器的输出波形如图1所示,该计数器是进制计数器。 CP Q 1 Q 2 Q (图1) 8、触发器有个稳定状态,用来寄存和。这种无外加触发信号时能维持原态不变的功能称功能。在外加触发信号作用下,触发器状态。 9、要完成二进制代码转换为十进制数,应选择的电路是:。 10、所谓计数器,是指能和输入脉冲个数的逻辑部件,它是利用触发器的功能来实现的。 11、对于JK触发器,若J=K,则可完成触发器的逻辑功能;若K= J,则可完成触发器的逻辑功能。 12、加法器是用来完成二进制数的加法运算的,它分为和。 13、用表示某些特定含义的代码就称为编码;而把的过程称为,它是编码的逆过程。 14、一个十进制加法计数器需要由个JK触发器组成。 15、3位二进制计数器累计脉冲个数为;4位二进制计数器累计脉冲个数为。 二、单项选择题(本大题共10小题,每小题2分,总计20分) 1、要将方波脉冲的周期扩展16倍,可采用:。 A、16进制计数器 B、十位二进制计数器 2、能实现串行数据变换成并行数据的是:。 A、编码器 B、译码器 C、移位寄存器 D、二进制计数器3、构成4位寄存器应选用个触发器。 A、2 B、4 C、6 D、8 4、对于由3个D触发器组成的单向移位寄存器,3位串行输入数码全部输入寄存器并全部串行输出,则所需要的移位脉冲的数量为。 A、12 B、6 C、3 D、2 5、具有“置0”、“置1”、“保持原状”、“状态翻新”,被称为全功能的触发器的是。 A、D触发器 B、T触发器 C、JK触发器 D、同步RS触发器 6、在触发脉冲作用下,每来一个触发脉冲,触发器的状态就翻转一次的触发器是:。 A、D触发器 B、T,触发器 C、JK触发器 D、同步RS触发器 7、对于基本RS触发器,若S=R=0,则。 A、Q=Q=0 B、Q=Q=1 C、Q=1,Q=0 D、Q=1,Q=0 8、存储8位二进制信息要个触发器。 A、2 B、4 C、6 D、8 9、对于一个共阳极型数码管,若译码器输出送数码管驱动的abcdefg=0000110,则显示的字符为。 A、2 B、3 C、E D、F 10、在下列逻辑电路中,不是组合逻辑电路的是:。 A、译码器 B、运算放大器 C、全加器 D、编码器 三、问答及作图题(本大题共4小题,每小题8分,共32分) 1、触发器的描述方法有哪些?请列举其中四种。 2、异步计数器在结构上有何特点?同步计数器在结构上有何特点? 3、两个D触发器构成的电路如图二所示,设初态Q0=Q1=1,试画出在5个脉冲作用下Q0、 Q、Q1 、 1 Q端的输出波形。 CP (图二) Q Q Q1 CP 1 Q

数字电路试卷及答案

数字电路试卷及答案(一) 一、填空题(每空1分,共5分) 1、CMOS与非门的未用输入端应连接到逻辑( 1 )电平或者输入信号连接端上。 2、DAC的功能就是将( 数字)输入成正比地转换成模拟输出。 4 EPROM可存储一个( 9 )输入4输出的真值表。?3、512 4、74X163的RCO输出有效条件就是:仅当使能信号( ENT )有效,并且计数器的状态就是15。 5、已知二进制原码为( 001101) 2 , 问对应的8-bit的补码为( 00001101 )2、 二、单项选择题:从以下题目中选择唯一正确的答案。(每题2分,共10分) 1、八路数据分配器的地址输入端有( B )个。 A、 2 B、3 C、 4 D、 5 2、以下描述一个逻辑函数的方法中( C )只能唯一表示。 A、表达式 B、逻辑图 C、真值表 D、波形图 3、实现同一功能的Mealy型同步时序电路比Moore型同步时序电路所需要的( B )。 A、状态数目更多 B、状态数目更少 C、触发器更多 D、触发器更少 4、使用移位寄存器产生重复序列信号“1000001”,移位寄存器的级数至少为( D )。 A、 2 B、3 C、 4 D、5 5、下列各逻辑函数式相等,其中无静态冒险现象的就是( D )。 A、F=B’C’+AC+A’B B、F=A’C’+BC+AB’ C、F=A’C’+BC+AB’+A’B D、F=B’C’+AC+A’B+BC+AB’+A’C’ 三、组合电路分析: (共10分) B=BC 最简与之积表达式。(4分) 解:F+A'BC'+AB=1、求逻辑函数F (2)、已知逻辑函数F=W+XZ+XY, 请写出与该函数对应的最小项列表表达式: F=ΣWXYZ( ) (3分) F=ΣWXYZ( 5,6,7,8,9,10,11,12,13,14,15 ) 数字电路试卷及答案(二) 一、【单项选择题】(本大题共20小题,每小题2分,共40分)在每小题列出的四个选项中只有一个选项就是符合题目要求的,请将正确选项前的字母填在答题卷相应题号处。 1、对于钟控RS触发器,若要求其输出“0”状态不变,则输入的RS信号应为( A )。 [A] RS=X0 [B] RS=0X [C] RS=X1 [D] RS=1X

数字电子技术基础试题及答案汇编

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 B 卷 考试形式 闭卷 考核类型 考试 本试卷共 3 大题,卷面满分100分,答题时间120分钟。 一、填空题:(每题2分,共10分) 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题:(每小题10分,共70分) 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+

3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R1=1KΩ,R2=8.2KΩ,C=0.1μF。试求脉冲宽度T,振荡频率f和占空比q。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态

时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 D= Q n+1= Q 1= 7. 已知电路如图4所示,试写出:

①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4

数字电路期末试题及答案(绝密)

《数字电子技术基础》期终考试试题(110分钟)一、填空题:(每空1分,共15分) 1.逻辑函数Y A B C =+的两种标准形式分别为 ()、()。 2.将2004个“1”异或起来得到的结果是()。 3.半导体存储器的结构主要包含三个部分,分别是()、()、()。 4.8位D/A转换器当输入数字量10000000为5v。若只有最低位为高电平,则输出电压为()v;当输入为10001000,则输出电压为()v。5.就逐次逼近型和双积分型两种A/D转换器而言,()的抗干扰能力强,()的转换速度快。 6.由555定时器构成的三种电路中,()和()是脉冲的整形电路。 7.与PAL相比,GAL器件有可编程的输出结构,它是通过对()进行编程设定其()的工作模式来实现的,而且由于采用了()的工艺结构,可以重复编程,使它的通用性很好,使用更为方便灵活。 二、根据要求作题:(共15分) 1.将逻辑函数P=AB+AC写成“与或非”表达式,并用“集电极开路与非门” 来实现。 2.图1、2中电路均由CMOS门电路构成,写出P、Q 的表达式,并画出对应A、 B、C的P、Q波形。

三、分析图3所示电路:(10分) 1)试写出8选1数据选择器的输出函数式; 2)画出A2、A1、A0从000~111连续变化时,Y的波形图; 3)说明电路的逻辑功能。 四、设计“一位十进制数”的四舍五入电路(采用8421BCD码)。要求只设定一个输出,并画出用最少“与非门”实现的逻辑电路图。(15分) 五、已知电路及CP、A的波形如图4(a) (b)所示,设触发器的初态均为“0”,试画出输出端B和C的波形。(8分)

数字电子技术基础知识总结

数字电子技术基础知识总结引导语:数字电子技术基础知识有哪些呢?接下来是小编为你带来收集整理的文章,欢迎阅读! 处理模拟信号的电子电路。“模拟”二字主要指电压(或电流)对于真实信号成比例的再现。 其主要特点是: 1、函数的取值为无限多个; 2、当图像信息和声音信息改变时,信号的波形也改变,即模拟信号待传播的信息包含在它的波形之中(信息变化规律直接反映在模拟信号的幅度、频率和相位的变化上)。 3.初级模拟电路主要解决两个大的方面:1放大、2信号源。 4、模拟信号具有连续性。 用数字信号完成对数字量进行算术运算和逻辑运算的电路称为数字电路,或数字系统。由于它具有逻辑运算和逻辑处理功能,所以又称数字逻辑电路。 其主要特点是: 1、同时具有算术运算和逻辑运算功能 数字电路是以二进制逻辑代数为数学基础,使用二进制数字信号,既能进行算术运算又能方便地进行逻辑运算(与、或、非、判断、比较、处理等),因此极其适合于运算、比较、存储、传输、控制、决策等应用。

2、实现简单,系统可靠 以二进制作为基础的数字逻辑电路,可靠性较强。电源电压的小的波动对其没有影响,温度和工艺偏差对其工作的可靠性影响也比模拟电路小得多。 3、集成度高,功能实现容易 集成度高,体积小,功耗低是数字电路突出的优点之一。电路的设计、维修、维护灵活方便,随着集成电路技术的高速发展,数字逻辑电路的集成度越来越高,集成电路块的功能随着小规模集成电路(SSI)、中规模集成电路(MSI)、大规模集成电路(LSI)、超大规模集成电路(VLSI)的发展也从元件级、器件级、部件级、板卡级上升到系统级。电路的设计组成只需采用一些标准的集成电路块单元连接而成。对于非标准的特殊电路还可以使用可编程序逻辑阵列电路,通过编程的方法实现任意的逻辑功能。 模拟电路是处理模拟信号的电路;数字电路是处理数字信号的电路。 模拟信号是关于时间的函数,是一个连续变化的量,数字信号则是离散的量。因为所有的电子系统都是要以具体的电子器件,电子线路为载体的,在一个信号处理中,信号的采集,信号的恢复都是模拟信号,只有中间部分信号的处理是数字处理。具体的说模拟电路主要处理模拟信号,不随时间变化,时间域和值域上均连续的信号,如语音信号。而数

数字电路基础试题及答案1

《数字电路》试卷一 一、填空:(25分) 1、(10110)2=( )10=( )16 ( 28 )10=( )2=( )16 (56)10=( )8421BCD 2、最基本的门电路是: 、 、 。 3、有N 个变量组成的最小项有 个。 4、基本RS 触发器的特征方程为_______ ,约束条件是 __. 5、若存储器的容量是256×4 RAM,该RAM 有 ___存储单元,有 字,字长 _____位,地址线 根。 6、用N 位移位寄存器构成的扭环形计数器的模是________. 7、若令JK 触发器的J=K=T 则构成的触发器为_______. 7、如图所示,Y= 。 9、如图所示逻辑电路的输出Y= 。 10、已知Y=D AC BC B A ++,则Y = ,Y/ = 。 11、组合逻辑电路的特点是_________、___________;与组合逻辑电路相比,时序逻辑电路的输出不仅仅取决于此刻的_______;还与电路 有关。 二、化简(20分) 1、公式化简 (1)Y=ABC ABC BC BC A ++++

=+++ (2)Y ABC A B C 2、用卡诺图法化简下列逻辑函数 =+++ (1)Y BCD BC ACD ABD Y=∑+∑ (2)(1,3,4,9,11,12,14,15)(5,6,7,13) m d 三、设下列各触发器初始状态为0,试画出在CP作用下触发器的输出波 形(10分) 四、用74LS161四位二进制计数器实现十进制计数器。(15分)

五、某汽车驾驶员培训班结业考试,有三名评判员,其中A 为主评判员,B 、C 为副评判员,评判时,按照少数服从多数原则,但若主评判员认为合格也可以通过。试用74LS138和与非门实现此功能的逻辑电路。(15分) r C Q A 、Q B 、Q C 、Q D :数据输出端; A 、 B 、 C 、 D :数据输入端; P 、T :计数选通端; r C :异步复位端; CP :时钟控制输入端; D L :同步并置数控制端; C :位输出端;

相关文档
最新文档