数电自主实验——多功能电子表的设计与实现

数电自主实验——多功能电子表的设计与实现
数电自主实验——多功能电子表的设计与实现

多功能电子表的设计与实现

——基于Basys2开发板电路设计及仿真1.实验目的

1.了解有关FPGA的基本知识以及在电路设计的应用;

2.了解并学会利用Verilog HDL硬件开发语言设计特定功能的电路,加深对知识的理解;3.了解Basys2开发板的特点并利用其元件在硬件上实现电路功能;

4.在完成电路设计的过程中积累实际工程开发的经验;

5.培养对于新型实验器材的理解和学习能力;

6.在实验中练习并熟悉有关嵌入式系统开发的过程,为未来的学习打下基础。

2.总体设计方案或技术路线

1.查阅资料,了解Basys2工作相关特点,对于FPGA的开发过程有初步认识;

2.学习Verilog HDL硬件开发语言,阅读相关程序实例加深对于编程语言及模块的理解;3.确定本次试验电子表的功能,编写程序进行实现;

4.对于编写程序进行调试,修改编写过程中出现的语法错误;

5.再对上一步中调试好的程序进行仿真,编写仿真代码,分析输出并进一步修改程序;6.对于仿真好的程序建立ucf文件进行引脚约束及综合,生成bit文件;

7.将bit文件烧写到开发板中,在硬件中实现预定功能;

8.对整个实验过程进行总结,分析输出效果并寻找改进方法。

3.实验电路图

由于本实验的电路设计基本全部由Verilog HDL硬件编程语言完成(具体代码附于报告结尾处),因此,没有具体芯片电路图。

而在仿真软件中,提供了实验电路的RTL级原理图和技术原理图。因此我们可以利用ISE Design Suite 14.7电路设计和仿真软件自动生成实验电路的原理图,具体操作过程为,在编写好程序后,双击鼠标左键选择运行Synthesize - XST对电路进行综合,综合成功后,在其子目录下会有View RTL Schematic和View Technology Schematic两个选项,双击这两个选项即可查看该电路的RTL级原理图和技术原理图(如下图)。

由于电路的搭建主要由代码实现,因此软件提供的主要为电路的输入输出原理图,而非具体的电路图,但对于工程的建立与调试已经足够,也就不需要另画详细的电路图了

RTL级原理图:

技术原理图:

4. 仪器设备名称、型号

1.Basys2 FPGA开发板(配有电源及烧写程序线,可与PC计算机相连);

2.Xilinx电路设计及仿真软件ISE Design Suite(版本号14.7);

3.PC计算机,Win7系统;

5.理论分析或仿真分析结果

1.电路理论及功能分析

本实验的目的是设计一个电子表,目前市面上销售的电子表主要有以下功能:时钟计时、

调整时间、秒表和照明及发送信号功能(由于Basys2开发板上没有自带蜂鸣器,因此闹钟功能相对较难实现)。

记时功能,以一秒为单位,由Basys2内部时钟可以使得led显示数码管在每秒可以自动加一,从而实现电子表的计时功能,同时需要一个开关控制继续和暂停,并且在暂停的情况下,通过按键来控制数码管调节时间;

调整时间,在电子表处在计时功能下,操纵按键可以控制相应位数的数码管变化,可以调整时间;

秒表功能,通过开发板上的开关控制数码管一0.01秒的精度进行计时,并且之前的暂停信号在秒表功能下依然有效,此外,还可通过另一个开关对于秒表的清零进行控制;

照明及发送信号功能,通过功能选择开关控制进入照明模式,可以使开发板上的8位led 灯按次序进行一定周期的闪烁,完成照明以及必要时发送信号的功能。

各模块流程图如下图所示:

结束

电子表效果图

2.实验元器件介绍

(1)可编程逻辑器件FPGA

FPGA(Field-Programmable Gate Array)即现场可编程门阵列,是近年来十分流行的可编程元件,它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点,因此在工程中得到了广泛的应用。

对于FPGA的开发,通常以硬件描述语言(Verilog或VHDL)所完成的电路设计,可以经过简单的综合与布局,快速的烧录至FPGA 上进行测试,是现代IC设计验证的技术主流。这些可编辑元件可以被用来实现一些基本的逻辑门电路(比如AND、OR、XOR、NOT)或者更复杂一些的组合功能比如解码器或数学方程式。在大多数的FPGA里面,这些可编辑的元件里也包含记忆元件例如触发器(Flip-flop)或者其他更加完整的记忆块。

(2)Xilinx公司Basys2开发板

Basys2是围绕着Xilinx公司的Spartan-3E FPGA芯片和一个Atmel AT90USB USB控制器搭建的,它提供了完整、随时可以使用的硬件平台,并且它适合于从基本逻辑器件到复杂控制器件的各种主机电路。可兼容所有版本的ISE电路设计和仿真软件。

3.实验仿真

利用ISE软件对于各个功能进行仿真,仿真时要建立Verilog Test Fixture文件,同时还要加入时钟信号,具体代码如下:

parameter PERIOD = 20; //开发板自身晶振50MHz

always begin

CLK = 1'b0;

#(PERIOD/2) CLK = 1'b1; #(PERIOD/2);

end

具体各功能仿真如下图:

照明及信号发送功能:

秒表功能:

计时功能:

6.详细实验步骤及实验结果数据记录(包括各仪器、仪表量程及内阻的记录)

1.确定本次试验电子表的功能,具体模块如以上部分介绍,并利用Verilog HDL语言编写程序进行实现(具体源程序文件附在报告后);

2.对于编写程序在ISE Design Suite 14.7软件中选择Check Syntax选项进行编译,修改编写过程中出现的语法错误;

3.再对上一步中调试好的程序进行仿真,编写仿真代码,分析输出并进一步修改程序;4.对于仿真好的程序建立ucf文件进行引脚约束(ucf文件代码附在报告后);

5.对于程序文件和引脚约束文件在ISE Design Suite 14.7软件中选择Synthesize – XST选项进行程序的综合

6.综合结束后,可以查看RTL级原理图和技术原理图;

7.综合成功后,继续选择Implement Design完成电路的连接;

8.完成后,进一步选择Generate Programming File选项生成可烧写到开发版中的二进制程序文件,即bit文件;

9.选择Manage Configuration Project选项,将bit文件烧写到开发板中,在硬件中实现预定功能,具体界面如下图;

10.对整个实验过程进行总结,分析输出效果并寻找改进方法。

7.实验现象及结论

电路具体效果见下图:

计时功能:

调整时间功能:

照明及信号发送功能:

秒表计时及清零功能:

经过实际观察,电子表电路预定功能全部实现,稳定性也较好,基本达到了预期的计划预实验目的。至此,实验设计的主体部分完成。

8.实验中出现的问题及解决对策

出现的问题:

1. 在实验中,在实现功能选择时,由于Verilog HDL语言无法在同一个或者不同模块中对外设进行两次赋值,因此对于功能选择的实现造成了困难。

2.在开始将程序烧写到开发板上时,开发板一直在执行内部程序,而不响应计算机烧写的程序。

3.实验调试初期,功能显示一直不稳定,功能切换时总出现卡顿,而且经常输出不稳定信号。

解决对策:

1.在编写代码时采用了单模块的结构,并且利用if条件判断语句对于赋值语句进行分割,以避免出现重复赋值的情况;

2.将开发板右上角处的短路块由ROM MODE调节到PC MODE,即可实现计算机程序的执行;

3.多次调试并仔细分析和优化代码,不断尝试修改代码以使得输出达到预期。

9.本次实验的收获和体会、对电路实验室的意见或建议

本实验我有以下几点体会:

1.对于课内没有学过的器材和知识,可以自己去学习和设计,例如试验中Basys2开发板和编程语言;

2.要认识到理论和实际的区别,多进行电路的调试,了解实际情况不可能和仿真波形完全一致,要学会抓住主要特点进行功能的分析和代码的优化;

3.对于好的想法,一定要勇于尝试,深入探究才能更深刻的理解元件特点;

4.充分利用仿真软件与实际硬件电路相结合进行功能测试,这样可以达到事半功倍的效果;5.最后,强烈建议实验室能够再多提供一些外设(例如蜂鸣器)并多给一些实例程序和历程以供学习和参考。

10.参考文献

[1] 沈涛,李传志,张小平,李斌. Xilinx FPGA/CPLD设计初级教程[M]. 西安电子科技大学出版社, 2009.

[2] 杜勇. FPGA/VHDL设计入门与进阶[M]. 机械工业出版社, 2011.

[3] 刘颖. 电路实验研究. 哈尔滨:哈尔滨工业大学,2009:8-13.

[4] 周兴华. 手把手教你学CPLD/FPGA与单片机联合设计[M]. 北京航空航天大学出版社, 2010.11.

[5] Reece T, Kiddie B T, Robinson W H. Identification of Trojans in an FPGA using Low-Precision Equipment[J].

附录A 电路设计源程序代码

1.模块定义以及初始化部分:

module ewatch(clk,clr,digit,out1,pause,switch,led,adj);

input clk,clr,pause,adj,switch;

output digit,out1,led;

wire pause; //定义暂停控制变量

wire[7:6] switch;

wire[3:0] adj; //定义时间调整变量adj

reg[7:0] led;

reg[6:0] out1; //定义数码管段选,即译码后的数据

reg[3:0] digit; //定义数码管位选

reg[31:0] count; //定义计数器

reg[31:0] counter; //定义计数器

reg cn; //cn为0.01秒向秒的进位位

reg[3:0] sec_l,sec_h,min_l,min_h,display; //定义时钟各位及译码前数据

initial out1<=7'b0000001;

initial led<=8'b00000000;

2.功能选择部分(包括照明和信号输出、时钟计时以及秒表功能):

照明和信号输出:

always@(posedge clk) //功能选择always部分

begin

count=count+1; //定义分频计数器count和counter

counter=counter+1;

if(switch[6]==1) //如果switch6为1进入照明及信号选择功能

begin //发送SOS信号

if(count==10000000) //短信号

begin

led<=8'b11111111;

end

if(count==20000000)

begin

led<=8'b00000000;

end

if(count==30000000)

begin

led<=8'b11111111;

end

if(count==40000000)

begin

led<=8'b00000000;

end

if(count==50000000)

begin

led<=8'b11111111;

end

if(count==60000000)

begin

led<=8'b00000000;

end

if(count==70000000) //长信号begin

led<=8'b11111111;

end

if(count==90000000)

begin

led<=8'b00000000;

end

if(count==110000000)

begin

led<=8'b11111111;

end

if(count==130000000)

begin

led<=8'b00000000;

end

if(count==150000000)

begin

led<=8'b11111111;

end

if(count==170000000)

begin

led<=8'b00000000;

end

if(count==190000000)

begin

led<=8'b11111111;

end

if(count==200000000) //短信号

begin

led<=8'b00000000;

end

if(count==210000000)

begin

led<=8'b11111111;

end

if(count==220000000)

begin

led<=8'b00000000;

end

if(count==230000000)

begin

led<=8'b11111111;

end

if(count==240000000)

begin

led<=8'b00000000;

end

if(count==300000000)

begin

count=0;

end

end

秒表功能部分:

else if(switch[7]==1) //如果switch7为1则进入

begin

if(clr) //判断清零

begin

counter=30'd0;

{sec_h,sec_l}=8'h00;

{min_h,min_l}=8'h00;

cn=1'b0;

end

else if(!pause&&{min_h,min_l,sec_h,sec_l}!=16'b0101_1001_1001_1001) if(counter>=30'd499999)

begin

counter=30'd0;

if(sec_l==9) //判断低位是否为9

begin

sec_l=0;

if(sec_h==9)

begin

sec_h=0;

cn=1;

end

else sec_h=sec_h+1;

end

else

begin

sec_l=sec_l+1;

cn=0;

end

if(cn==1)

begin

cn=0;

if(min_l==9)

begin

min_l=0;

if(min_h==5);

else

min_h=min_h+1;

end

else min_l=min_l+1;

end

else;

end

else;

end

时钟计时部分:

else //awitch6和7均为零时进入时钟计时功能begin

if((count>=50000000)&&(pause==0)) //计算器以一秒钟为周期,整秒时刻时,如没有外加控制,则秒个位加1,计算器清零

begin

sec_l=sec_l+1;

count=0;

if(sec_l==10) //秒个位到10,秒十位加1,秒个位置零

begin

sec_l=0;

sec_h=sec_h+1;

if(sec_h==6) //秒十位到6,分个位加1,秒十位置零

begin

min_l=min_l+1;

sec_h=0;

if(min_l==10) //分钟个位到10,分十位加1,分个位置零

begin

min_h=min_h+1;

min_l=0;

if(min_h==6) //分钟十位到6,分十位置零

min_h=0;

end

end

end

end

else if((count==25000000)&&(pause==1)) //在整秒时,若有外加控制,调整时钟,如不调整就为暂停

begin

count=0; //计数器置零

if(adj[0]==1) //改变秒个位

begin

sec_l=sec_l+1;

if(sec_l==10) sec_l=0;

end

if(adj[1]==1) //改变秒十位

begin

sec_h=sec_h+1;

if(sec_h==6) sec_h=0;

end

if(adj[2]==1) //改变分个位

begin

min_l=min_l+1;

if(min_l==10) min_l=0;

end

if(adj[3]==1) //改变分十位

begin

min_h=min_h+1;

if(min_h==6) min_h=0;

end

end

end

end

3.数码管选择及显示部分:

always@(posedge clk)

begin

case(count[17:16]) //将需要显示的值付给display

2'b00:begin digit<=4'b1110;display<=sec_l;end

2'b01:begin digit<=4'b1101;display<=sec_h;end

2'b10:begin digit<=4'b1011;display<=min_l;end

2'b11:begin digit<=4'b0111;display<=min_h;end

endcase

end

4.数码管译码及输出部分:

always@(posedge clk) //将display译码为七段数码管的二进制数字,赋给out1输出begin

case(display)

0:out1<=7'b0000001;

1:out1<=7'b1001111;

2:out1<=7'b0010010;

3:out1<=7'b0000110;

4:out1<=7'b1001100;

5:out1<=7'b0100100;

6:out1<=7'b0100000;

7:out1<=7'b0001111;

8:out1<=7'b0000000;

9:out1<=7'b0000100;

endcase

end

endmodule

附录B UCF源程序代码

NET "clk" LOC = "B8" ;

NET "clr" LOC = "F3" ;

NET "pause" LOC = "P11" ; NET "switch<6>" LOC = "E2" ; NET "switch<7>" LOC = "N3" ; NET "adj<0>" LOC = "G12" ; NET "adj<1>" LOC = "C11" ; NET "adj<2>" LOC = "M4" ; NET "adj<3>" LOC = "A7" ; NET "digit<3>" LOC = "K14" ; NET "digit<2>" LOC = "M13" ; NET "digit<1>" LOC = "J12" ; NET "digit<0>" LOC = "F12" ; NET "out1<6>" LOC = "L14" ; NET "out1<5>" LOC = "H12" ; NET "out1<4>" LOC = "N14" ; NET "out1<3>" LOC = "N11" ; NET "out1<2>" LOC = "P12" ; NET "out1<1>" LOC = "L13" ; NET "out1<0>" LOC = "M12" ; NET "led<7>" LOC = "G1" ; NET "led<6>" LOC = "P4" ; NET "led<5>" LOC = "N4" ; NET "led<4>" LOC = "N5" ; NET "led<3>" LOC = "P6" ; NET "led<2>" LOC = "P7" ; NET "led<1>" LOC = "M11" ; NET "led<0>" LOC = "M5" ;

微机原理课程设计电压报警器实验报告

南通大学电子信息学院 微机原理课程设计 报告书 课题名: 班级: 姓名: 学号: 指导老师: 日期: xxx

目录 1.设计目的 (2) 2.设计内容 (2) 3.设计要求 (2) 4.设计原理 (3) 5.硬件电路图 (3) 6.程序代码 (5) 7.程序及硬件系统调试情况 (19) 8.设计总结与体会 (19)

一、设计目的 课程设计是培养和锻炼学生在学习完本门课后综合应用所学理论知识,解决实际工程设计和应用问题的能力的重要教学环节。它具有动手、动脑和理论联系实际的特点,是培养在校工科大学生理论联系实际、敢于动手、善于动手和独立自主解决设计实践中遇到的各种问题能力的一个重要教学环节。 通过课程设计,要求学生熟悉和掌握微机系统的软件、硬件设计的方法、设计步骤,使学生得到微机开发应用方面的初步训练。让学生独立或集体讨论设计题目的总体设计方案、编程、软件硬件调试、编写设计报告等问题,真正做到理论联系实际,提高动手能力和分析问题、解决问题的能力,实现由学习知识到应用知识的初步过渡。通过本次课程设计使学生熟练掌握微机系统与接口扩展电路的设计方法,熟练应用8086汇编语言编写应用程序和实际设计中的硬软件调试方法和步骤,熟悉微机系统的硬软件开发工具的使用方法。 通过课程设计实践,不仅要培养学生事实求是和严肃认真的工作态度,培养学生的实际动手能力,检验学生对本门课学习的情况,更要培养学生在实际的工程设计中查阅资料,撰写设计报告表达设计思想和结果的能力。 二、设计内容 设计一个电压报警器,要求采集实验箱提供的0~5V的电压,当输入电压在3V以内,显示电压值,如2.42。当输入电压超过3V,显示ERR,并报警。电压值可在七段数码管显示,点阵广告屏显示或液晶屏显示。报警形式自行设计,

汽车尾灯_数电自主实验报告

数电自主实验报告 实验名称汽车尾灯控制电路设计学号:姓名:班 (教师签名): 日期 一、实验任务 1、设计汽车尾灯控制电路; 2、进行各模块仿真实验,分析电路性能; 3、了解移位寄存器、计数器等的工作原理; 4、掌握74LS161、74LS194等芯片的使用、熟练multisim的使用。 二、实验设备 三、实验原理 (1)实验原理图 汽车尾灯控制电路原理图如图1所示。

图1中按下左上角A\B\C\D四个开关分别控制刹车Ss\停车St\左转弯SL\右转弯SR,对应着右边X4~X6、X7、X1~X3七个灯的状态。左下角的74LS161为四进制计数器,产生(0000,0001,0010,0011)四个拍;74LS194当S0S1=10时控制左灯X1~X3右移、S0S1=01时控制右灯X4~X6左移。 发现:开关模块比教材中复杂才能真正实现功能:刹车时中间灯不亮两边亮(且不管左右转弯状态)、紧急状态时所有灯全闪烁。 (2)74LS161分析 结果:74LS161确实四进制计数器,产生Q0Q1=00、10、01、11的循环,Q2控制每四个节拍产生一个脉冲,经反相器使复位端CLR清零一次。 发现:multisim中74LS161为下降沿触发,与教材不同,故需接反相器。

(3)整个系统仿真分析: 左转弯时检测如下。 分析:74LS161\74LS194的输出如图,确实能带动左灯X1~X3右移闪烁。 发现:194Q3瞬间脉冲电压也能点亮灯泡。 右转弯时检测结果如下。 分析:74LS161\74LS194的输出如图,确实能带动右灯X4~X6左移闪烁。

四、实验内容与步骤 1、左转弯:按下C键,左灯X1~X3右移闪烁。 2、右转弯:按下D键,右灯X4~X6左移闪烁。 3、紧急转态:同时按下C和D键,所有灯按一定频率闪烁。 发现:左右灯和中间的灯闪烁频率是不一样的。中间灯闪烁的更快。

数电课程设计心得体会_1

数电课程设计心得体会 课程设计刚开始,拿着选定的题目不知如何入手。毕竟课程设计不同于实验课,电路图和程序都要自己设计。静下心来,仔细分析题目,再加上指导老师的说明与提示和同组成员的帮助,心中才有了谱。将整个系统根据不同的功能化分成模块,再分别进行设计,逐个攻破,最后再将其整合即可。 用vhdl进行设计,首先应该理解,vhdl语言是一种全方位硬件描述语言,包括系统行为级,寄存器传输级和逻辑门级多个设计层次。应充分利用vhdl“自顶向下”的设计优点以及层次化的设计概念,层次概念对于设计复杂的数字系统是非常有用的,它使得我们可以从简单的单元入手,逐渐构成庞大而复杂的系统。通过使用eda编程既方便有快捷的实现了程序本次设计的程序已经在硬件系统上得到了验证,实验表明,此设计方法能够满足多种不同花样彩灯的变化要求,并且该方法便于扩展不同变化模式的彩灯花样。但是试验中也出现了一些不熟练的操作问题和一些复杂程序的不能完全理解都需要我在平时多学习,进一步的完善自己。在实习中经常会遇到一些自己可能暂时无法想明白的问题,请教同学或老师是很好的做法,节省时间也会从别人上上学到更多。在设计时和同学相互交流各自的想法也是很重要的,不同的人对问题的看法总有差异,我们可以从交流中获得不

同的思路,其他人的设计一定有比你出色的地方,很好的借鉴,并在大家的商讨中选择最优方案最终一定会得到最好的设计方法。电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。它不但能巩固我们已所学的电子技术的理论知识,而且能提高我们的电子电路的设计水平,还能加强我们综合分析问题和解决问题的能力,进一步培养我们的实验技能和动手能力,启发我们的创新意识几创新思维。 整个课程设计过程我都认真地完成了,对此,我总结了以下几点: 第一,两人一组,既加强了我们的动手能力,又让我们学会了团结一致,共同合作才能研究出最好的方案。我们将理论联系实际,在交流中取得进步,从问题中提高自己。 第二,本次课程设计加深了我对eda技术的进一步深入理解。熟悉了vhdl程序编写和原理图输入法的优缺点,为我以后更好地运用max+plusii奠定了良好的基础。 第三,通过这次课程设计,使我受益颇多。了解到课程实习设计是开端,连接是关键,测试是必须。既巩固了课堂上学到的理论知识,又掌握了常用集成电路芯片的使用。在此基础上学习了数字系统设计的基本思想和方法,学会了科学地分析实际问题,通过查资料、分析资料及请教老师和同学等多种途径,独立解决问题。同时,也培养了我认真严谨

数字电路课程设计题目选编

数字电路课程设计题目选编 1、基于DC4011水箱水位自动控制器的设计与实现 简介及要求:水箱水位自动控制器,电路采用CD4011 四与非门作为处理芯片。要求能够实现如下功能:水 箱中的水位低于预定的水位时,自动启动水泵抽水; 而当水箱中的水位达到预定的高水位时,使水泵停止 抽水,始终保持水箱中有一定的水,既不会干,也不 会溢,非常的实用而且方便。 2、基于CD4011声控、光控延时开关的设计与实现 简介及要求:要求电路以CD4011作为中心元件,结合外围 电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭 状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态, 当有人经过该开关附近时,脚步声、说话声、拍手声等都能开 启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭, 灯灭。 3、基于CD4011红外感应开关的设计与实现 在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。 4、基于CD4011红外线对射报警器的设计与实现 设计一款利用红 外线进行布防的防盗 报警系统,利用多谐振 荡器作为红外线发射 器的驱动电路,驱动红 外发射管,向布防区内 发射红外线,接收端利用专用的红外线接收器件对发射的 红外线信号进行接收,经放大电路进行信号放大及整形, 以CD4011作为逻辑处理器,控制报警电路及复位电路,电

路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。 5、基于CD4069无线音乐门铃的设计与实现 音乐门铃已为人们所熟知,在一些住宅楼中都 装有音乐门铃,当有客人来访时,只要按下门铃按 钮,就会发出“叮咚”的声音或是播放一首乐曲, 然而在一些已装修好的室内,若是装上有线门铃, 由于必须布线,从而破坏装修,让人感到非常麻烦。 采用CD4069设计一款无线音乐门铃,发射按键与接 收机间采用了无线方式传输信息。 6、基于时基电路555“叮咚”门铃的设计与实现 用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够 发出音色比较动听的“叮咚”声。 7、基于CD4511数显八路抢答器的设计与实现 CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成 电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编 码,优先,锁存,数显和复位。 8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通 过调节电位器旋钮,可调整彩灯的流动速度。 9、基于用CD4067、CD4013、 NE555跑马灯的设计与实 现

数电实验报告1

实验一门电路逻辑功能及测试 一、实验目的 1、熟悉门电路逻辑功能。 2、熟悉数字电路学习机及示波器使用方法。 二、实验仪器及材料 1、双踪示波器 2、器件 74LS00 二输入端四与非门2片 74LS20 四输入端双与非门1片 74LS86 二输入端四异或门1片 74LS04 六反相器1片 三、预习要求 1、复习门电路工作原理相应逻辑表达示。 2、熟悉所有集成电路的引线位置及各引线用途。 3、了解双踪示波器使用方法。 四、实验内容 实验前按学习机使用说明先检查学习机是否正常,然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。线接好后经实验指导教师检查无误方可通电。试验中改动接线须先断开电源,接好线后在通电实验。 1、测试门电路逻辑功能。 (1)选用双输入与非门74LS20一只,插入面包板,按图 连接电路,输入端接S1~S4(电平开关输入插口),输 出端接电平显示发光二极管(D1~D8任意一个)。 (2)将电平开关按表1.1置位,分别测出电压及逻辑状态。(表1.1) 输入输出 1 2 3 4 Y 电压(V) H H H H 0 0.11 L H H H 1 4.23 L L H H 1 4.23 L L L H 1 4.23 L L L L 1 4.23

2、异或门逻辑功能测试 (1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A ﹑B ﹑Y 接电平显示发光二极管。 (2)将电平开关按表1.2置位,将结果填入表中。 表 1.2 3、逻辑电路的逻辑关系 (1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。将输入输出逻辑关系分别填入表1.3﹑表1.4。 输入 输出 A B Y Y 电压(V ) L L L L 0 0 0 0.16 H L L L 1 0 1 4.18 H H L L 0 0 0 0.17 H H H L 0 1 1 4.18 H H H H 0 0 0 0.17 L H L H 1 1 0.17 输入 输出 A B Y L L 0 L H 1 H L 1 H H 输入 输出 A B Y Z L L 0 0 L H 1 0 H L 1 0 H H 1

数字电路课程设计总结报告

数字电路课程设计总结报告题目:交通灯控制器 班级:08通信工程1班 学号:0810618125 姓名:廖小梅 指导老师:张红燕 日期:2010年12月

目录 1、设计背景 2、设计任务书 3、设计框图及总体描述 4、各单元设计电路设计方案与原理说明 5、测试过程及结果分析 6、设计、安装、调试中的体会 7、对本次课程设计的意见及建议 8、附录 9、参考文献 10、成绩评定表格

一、设计背景 随着经济的快速发展,城市交通问题日益凸显严重,尤其在城市街道的十字叉路口,极其容易发生交通问题,为了保证交通秩序和人们的安全,一般在每条街上都有一组红、黄、绿交通信号灯。交通灯控制电路自动控制十字路口的红、黄、绿交通灯。交通灯通过的状态转换,指挥车辆行人通行,保证车辆行人的安全,实现十字路口交通管理自动化。 二、设计任务书 1、设计一个十字路口的交通灯控制电路,要求南北方向(即 A车道)和东西方向(即B车道)两条交叉道路上的车辆 交替运行,每次通行时间都为30秒; 2、在绿灯转红灯时,先由绿灯转为黄灯,黄灯亮6秒后,再 由黄灯转为红灯,此时另一方向才由红灯转为绿灯,车辆 才开始通行。 三、设计框图及总体描述 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1所示。它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。

图1交通灯控制系统原理框图 在图中, T30: 表示甲车道或乙车道绿灯亮的时间间隔为30秒,即车辆正常通行的时间间隔。定时时间到,T30 =1,否则,T30 =0。 T6:表示黄灯亮的时间间隔为6秒。定时时间到,T6=1,否则,T6=0。 S T:表示定时器到了规定的时间后,由控制器发出状态转换信号。 由它控制定时器开始下个工作状态的定时。 交通系统的车道信号灯的工作状态转换如下所述: 状态1:A车道绿灯亮,B车道红灯亮。表示A车道上的车辆允许通行,B车道禁止通行。绿灯亮满规定的时间隔T30时, 控制器发出状态信号S T,转到下一工作状态。 状态2:A车道黄灯亮,B车道红灯亮。表示A车道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,B车 道禁止通行。黄灯亮足规定时间间隔TY时,控制器发 出状态转换信号S T,转到下一工作状态。 状态3:A车道红灯亮,B车道黄灯亮。表示A A车道禁止通行,B车道上的车辆允许通行绿灯亮满规定的时间间隔T30 时,控制器发出状态转换信号S T,转到下一工作状态。

数电课程设计题目汇总..

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED 数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM 查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗: 〖主要参考元器件〗:CD4060,74LS74,74LS161,74LS248 电桥电路 供电电路 时钟电路 放大电路 A/D 转换 显示电路 时校 分校 秒校 24进制时计数器 单次或连续的脉冲 60进制分计数器 分频器 60进制秒计数器 译码电路 晶体振荡器 显示电路 译码电路 显示电路 显示电路 译码电路

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED 显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S 内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD 拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED 数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗 l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 外部操作开关 〖主要参考元器〗:CC4511,CC14522,CD4060 传感器 基准时间产生电路 倍频器 放大与整形 控制电路 计数译码 显 示电 路 秒脉冲发生器 计时器 译码显示 控制电路 报警电路

直流稳压电源设计实验报告

直流稳压电源设计实验报 告 Prepared on 22 November 2020

实训报告 题目名称:直流稳压电源电路 系部:电气与信息工程系 专业班级:机制 14-3 学生姓名:郭欣欣 学号: 指导教师:刘岩 完成日期: 2018年1月17日 摘要 随着电子技术的快速发展,高性能的电子电路对于电源供电质量的要求越来越高,如何设计出能满足高性能电路要求的高精度电源便成为一大课题。直流稳压源为电路提供直流电压和能量,其输出电压的品质直接决定的电源性能的好坏。 本实验旨在利用交流变压器、整流环节、滤波环节和集成元件稳压电路将交流电压转化为直流电压输出,并且对衡量稳压电路性能的几种主要参数进行了测试和分析。 随着电子技术的快速发展,高性能的电子电路对于电源供电质量的要求越来 越高,如何设计出能满足高性能电路要求的高精度电源便成为一大课题。直流稳 压源为电路提供直流电压和能量,其输出电压的品质直接决定的电源性能的好坏。本实验旨在利用交流变压器、整流环节、滤波环节和集成元件LM317稳压电路将220V交流电压转化为5V直流电压输出,并且对衡量稳压电路性能的几种主要参数进行了测试和分析。 关键词:半波整流电容滤波稳压电路稳压系数纹波电压 目录 一、设计要求 (1) 二、原理分析与设计步骤 1.直流稳压电路结构的选择 (1) 2.交流变压器 (2) 3.整流电路 (2)

4.滤波电路 (2) 5.集成稳压电路 集成稳压器件LM317 (3) LM317典型接法 (4) 6.参数计算与器件选择 (4) 电路参数计算 (4) 元器件清单 (5) 三、实验步骤与测试结果 1.电路搭接与仪器调试 (6) 2.性能参数测试 稳压系数的测量 (6) 输出电阻的测量 (6) 纹波电压的测量 (7) 测量结果分析 (7) 四、实验小结 (7)

数电实验实验报告

数字电路实验报告

实验一 组合逻辑电路分析 一.试验用集成电路引脚图 74LS00集成电路 74LS20集成电路 四2输入与非门 双4输入与非门 二.实验内容 1.实验一 自拟表格并记录: 2.实验二 密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开。否则,报警信号为“1”,则接通警铃。试分析密码锁的密码ABCD 是什么? X1 2.5 V A B C D 示灯:灯亮表示“1”,灯灭表示“0” ABCD 按逻辑开关,“1”表示高电平,“0”表示低电平

ABCD 接逻辑电平开关。 最简表达式为:X1=AB ’C ’D 密码为: 1001 A B C D X1 X2 A B C D X1 X2 0 0 0 0 0 1 1 0 0 0 0 1 0 0 0 1 0 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 1 0 0 1 0 0 1 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 1 0 0 0 1 0 1 0 1 0 1 1 1 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 1 0 1 1 1 1 1 1 1 1 1 三.实验体会: 1.分析组合逻辑电路时,可以通过逻辑表达式,电路图和真值表之间的相互转换来到达实验所要求的目的。 2.这次试验比较简单,熟悉了一些简单的组合逻辑电路和芯片 ,和使用仿真软件来设计和构造逻辑电路来求解。 实验二 组合逻辑实验(一) 半加器和全加器 一.实验目的 1. 熟悉用门电路设计组合电路的原理和方法步骤 二.预习内容 1. 复习用门电路设计组合逻辑电路的原理和方法步骤。 2. 复习二进制数的运算。 3. 用“与非门”设计半加器的逻辑图。 4. 完成用“异或门”、“与或非”门、“与 非”门设计全加器的逻辑图。 5. 完成用“异或”门设计的3变量判奇 电路的原理图。 三.元 件参考 U1A 74LS00D U1B 74LS00D U1C 74LS00D U1D 74LS00D U2A 74LS00D U2B 74LS00D U2C 74LS00D U3A 74LS20D X1 2.5 V X2 2.5 V VCC 5V A B C D

数电课程设计

一、数字电子钟 1.设计目得 (1)培养数字电路得设计能力。 (2)掌握数字电子钟得设计、组装与调试方法。 2.设计内容及要求 (1)设计一个数字电子钟电路。要求: ①按24小时制直接显示“时”、“分”、“秒”。 ②当电路发生走时误差时具有校时功能。 ③具有整点报时功能,报时音响为4低1高,即在59分51秒、53秒、55秒、57秒输出500Hz信号,在59分59秒时输出1000 Hz信号,音响持续时间为1秒,最后一响结束时刻正好为整点。 (2)用中小规模集成电路组成电子钟,并在实验仪上进行组装、调试。 (3)画出各单元电路图、整机逻辑框图与逻辑电路图,写出设计、实验总结报告。 (4)选作部分:①闹钟系统。②日历系统。 3.数字电子钟基本原理及设计方法 数字电子钟得逻辑框图如图1411所示。它由振荡器、分频器、计数器、译码器、显示器、校时电路与整点报时电路组成。振荡器产生得脉冲信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器显示时间。有得数字电子钟还加有定时响铃、日历显示等其它功能,需增加相应得辅助电路。 图1411 数字电子钟得基本逻辑框图 (1)振荡分频电路 振荡器就是数字电子钟内部用来产生时间标准“秒”信号得电路。构成振荡器得电路很多,图1412(a)就是RC环形多谐振荡器,其振荡周期T≈2、2RC。作为时钟,最主要得就是走时准确,这就要求振荡器得频率稳定。要得到频率稳定得信号,需要采用石英晶体振荡器。石英晶体振荡器电路如图1412(b)所示,这种电路得振荡频率只取决于石英晶体本身得固有频率。 图1412 振荡器

(a)RC环形多谐振荡器 (b)石英晶体多谐振荡器 由于石英晶体振荡器产生得频率很高,要得到秒信号,需采用分频电路。例如,振荡器输出4 MHz信号,先经过4分频变成1 MHz,再经过6次10分频计数器,便可得到1Hz得方波信号作为秒脉冲。 (2)计数器 把秒脉冲信号送入秒计数器个位得CP输入端,经过6级计数器,分别得到“秒”个位、十位,“分”个位、十位,以及“时”个位、十位得计时。“秒”、“分”计数器为60进制,“时”计数器为24进制。 24进制计数器如图1413所示。当“时”个位计数器输入端CP来到第10个触发脉冲时,该计数器归零,进位端Q D5向“时”十位计数器输出进位信号。当第24个“时”脉冲(来自“分”计数器输出得进位信号)到来时,十位计数器得状态为0010,个位计数器得状态位0100,此时“时”十位计数器得Q B6与“时”个位计数器得Q C5输出为1。两者相与后送到两计数器得清零端R0A与R0B,通过74LS90内部得R0A与R0B与非后清零,完成24进制计数。同理可构成60进制计数器。 CP 来自分计数器 的进位信号 图1413 24进制计数器 (3)译码显示电路 译码驱动器采用8421 BCD码七段译码驱动器74LS48,显示器采用共阴极数七段数码显示器,有关74LS48与七段显示器得使用方法前面已经作了介绍,这里不再赘述。 (4)校时电路 当数字电子钟出现走时误差时,需要对时间进行校准。实现校时电路得方法很多,如图1414所示电路即可作为时计数器或分计数器得校时电路。 图1414 校时电路 现设用该电路作为分计数器得校时电路,图中采用RS触发器作为无抖动开关。通过开关K得接入位置,可以选择就是将“1 Hz信号”还就是将“来自秒计数器得进位信号”送至分计数器得CP端。当开关K置于B端时,RS触发器得输出、,“来自秒计数器得进位信号”被送至分计数器得CP端,分计数器正常工作;需要校正分计数器时,将开关K置于A端,这时RS触发器得输出、,“1 Hz信号”被送至分计数器得CP端,分计数器在“1Hz信号”得作用下快速计数,直至正确得时间,再将开关K置于B端,达到了校准时间得目得。 (5)整点报时电路 电路得设计要求在差10 s为整点时开始每隔1 s鸣叫一次,每次持续时间为1 s,共鸣叫5次,前4次为低音500 Hz,最后一次为高音1 kHz。因为分计数器与秒计数器从59分51秒计数到59分59秒得过程中,只有秒个位计数器计数,分十位、分个位、秒十位计数器得状态不变,分别为Q D4Q C4Q B4Q A4=0101,Q D3Q C3Q B3Q A3=1001,Q D2Q C2Q B2Q A2=0101,所以Q C4=Q A4=Q D3=Q A3=Q C2=Q A2=1不变。设Y1=Q C4Q A4Q D3Q A3Q C2Q A2,又因为在51、53、55、57秒时Q A1=1,Q D1=0,输出500Hz信号f2;59秒时Q A1=1,Q D1=1,输出1kHz信号f1,由此可写出整点报时电路得逻辑表达式为:

09电信电子线路课程设计题目

电子线路课程设计题目 (模电、数电部分) 一、锯齿波发生器 二、语音放大电路 三、可编程放大器 四、数字频率计 五、可调电源 六、汽车尾灯控制电路 2011.09

一、设计一高线性度的锯齿波发生器 要求: (1)利用555定时器和结型场效应管构成的恒流源设计一高线性度的锯齿波发生器;参考电路如图所示; (2)在EWB中对该电路进行仿真; (3)焊接电路并进行调试;调试过程中思考: a、电路中两个三极管的作用是什么?其工作状态是怎么样的? b、R3阻值的大小会对锯齿波的线性度产生什么影响? c、输出锯齿波的幅值范围多大? d、调节电路中的可调电阻对波形有什么影响? e、LM324的作用是什么? (4)参考电路图中采用的是结型场效应管设计的,若采用N沟道增强型VMOS管和555定时器来设计一高线性度的锯齿波发生器,该如何设计? LM324 图2 高线性度锯齿波发生器的设计

二、语音放大电路的设计 通常语音信号非常微弱,需要经过放大、滤波、功率放大后驱动扬声器。 要求: (1)采用集成运算放大器LM324和集成功放LM386N-4设计一个语音放大电路;假设语音信号的为一正弦波信号,峰峰值为5mV,频率范围为100Hz~1KHz,电路总体原理图如下所示; 图4 语音放大电路 (2)仔细分析以上电路,弄清电路构成,指出前置放大器的增益为多少dB?通带滤波器的增益为多少dB? (3)参照以上电路,焊接电路并进行调试。 a、将输入信号的峰峰值固定在5mV,分别在频率为100Hz和1KHz的条件下测试前 置放大的输出和通带滤波器的输出电压值,计算其增益,将计算结果同上面分析 的理论值进行比较。 b、能过改变10K殴的可调电阻,得到不同的输出,在波形不失真的条件下,测试集 成功放LM386在如图接法时的增益; c、将与LM386的工作电源引脚即6引脚相连的10uF电容断开,观察对波形的影响, 其作用是什么? d、扬声器前面1000uF电容的作用是什么?

数电课程设计报告

数电课程设计报告 电子技术课程设计 题目名称: 音乐彩灯控制器 1.设计任务和要求 (1) 任务设计一种组合式彩灯控制电路,该电路由三路不同控制方法的彩灯所组成,采用不同颜色的发光二极管作设计实验。 (2) 要求① 第一路为音乐节奏控制彩灯,按音乐节拍变换彩灯花样。 ② 第二路按音量的强弱(信号幅度大小)控制彩灯。强音时,灯的亮度加大,且灯被点亮的数目增多。 ③ 第三路按音调高低(信号频率高低)控制彩灯。低音时,某一部分灯点亮;高音时,另一部分灯点亮。 摘要随着现代社会经济的飞速发展和夜市的兴起,各种彩灯装饰层出不穷,给城市的夜间带来绚丽的色彩。夜间漫步于城市的每一个角落,触目可及变幻莫测、摇曳生姿的各式彩灯,无一不诱惑着人们好奇的双眼。门面店铺灯光装潢大都采用时明时暗或部分循环点亮的流水模式,有新意的要属那些旋转上升变化的广告装饰灯。在公园里有树状的彩灯,它从底部开始亮起,然后快速沿枝干向上窜升,到达顶端后向各处散开,远远望去犹

如仙女散花,煞是好看。有音乐的娱乐场所,比如说舞厅,酒吧间和咖啡厅的彩灯会随着悠扬的音乐闪烁生辉,这些场所的灯光一般比较幽暗,更加显得彩灯扑朔迷离、捉摸不定,一如可望而不可即的魑魅。而气势磅礴、规模宏大的当然是大型的节日彩灯,把许多组彩灯进行不同的组合,便得到花样众多的主题字型或代表喜庆吉祥的图案。这些彩灯不仅增添了节日的气氛,而且丰富了人们多姿多彩的生活。 本文介绍的彩灯控制器是一种组合式彩灯控制电路。声控彩灯是音乐声响与彩灯灯光的相互组合,使音乐的旋律伴以亮度、颜色和图案不断变换的灯光,使人的视觉和听觉结合在一起获得综合的艺术享受。本设计伴随音乐的节奏、大小、音调而变化的彩灯控制器。使彩灯在艺术上有了很大的提高,本文的主要内容有以下几点:设计音乐信号放大电路;设计滤波电路,实现音乐的音调控制彩灯;555基本电路构成单稳态实现音乐大小控制彩灯;555基本电路构成多谐电路实现音乐节奏控制彩灯。 其次本文还详细阐述了音乐彩灯控制器的结构和功能,并对各组成部分的工作原理进行了比较详细的分析,而且对各组成部分的附加元的参数设定也做了较为严密的计算。 关键字:音乐的强弱节奏音调彩灯控制器整流滤波高低通滤波 555基本电路一.方案设计 (1) 设计思路根据课题要求,本控制器可分别用三部分电路实现。

数电课程设计题目

课程设计题目:(可选参考题目) 1.函数发生器的设计 设计任务: 设计一个函数发生器,能产生方波、三角波、正弦波、锯齿波信号。主要技术指标: (1)输出频率范围100HZ~1KHZ、1~10KHZ (2)输出电压:方波U PP=6V,三角波U PP=6V,正弦波U PP>1V,锯齿波U PP=6V。 2.串联型直流稳压电源的设计 设计任务: 设计一个直流稳压电源,能产生方波、三角波、正弦波、锯齿波信号。主要技术指标: (1) 输出电压可调范围:5~20V (2) 最大输出电流:3A (3) 稳压系数Sr≦0.1。 3.多级低频阻容耦合放大器的设计 设计任务: (1)输入正弦波信号:有效值U i≦10mV、内阻R S=50Ω、频率f=30HZ~30KHZ; (2)输出正弦波信号:有效值U O≧3V、R O≦10Ω; (3)输入电阻:R i≧20kΩ (4)工作稳定:温度变化时闭环增益相对变化率为开环相对变化率的十分之一。 (5)消除自激振荡。 4.集成运放交流放大器设计 设计任务: (1)输入信号:有效值U i≦10mV、内阻R S=50Ω、频率f=20HZ~20KHZ; (2)输出信号:有效值U O≧5V、R O≦10Ω; (3)输入电阻:R i≧20kΩ, (4)负载电阻:R L=2kΩ 5.由集成运放和晶体管组成的OCL功率放大器设计 设计任务: (1) 输入信号:有效值U i≦200mV (2) 最大输出功率:P O≧2W, (3) 负载电阻:R L=8Ω, (4) 通频带:BW=80HZ~10kHZ。 6.正弦波信号发生器的设计 设计任务: (1) 输出频率范围:20HZ~20KHZ (2) 输出电压幅度:U PP>6V, 7.电阻炉温度控制器设计 设计任务: 设计一个电阻炉温度控制器,使受控场所的环境温度维持在设定的温度范围内 (1) 设计合适的电源电路 (2) 用集成运放组成温度检测控制电路 (3) 用继电接触控制电路控制加热器。 8.数字电子钟电路设计

《数字逻辑电路》期末大作业实验报告

大连外国语大学软件学院 1数字逻辑电路概述 数字逻辑是数字电路逻辑设计的简称,其内容是应用数字电路进行数字系统逻辑设计。电子数字计算机是由具有各种逻辑功能的逻辑部件组成的,这些逻辑部件按其结构可分为组合逻辑电路和时序逻辑电路。组合逻辑电路是由与门、或门和非门等门电路组合形成的逻辑电路;时序逻辑电路是由触发器和门电路组成的具有记忆能力的逻辑电路。有了组合逻辑电路和时序逻辑电路,再进行合理的设计和安排,就可以表示和实现布尔代数的基本运算。 数字逻辑电路有易于集成、传输质量高、有运算和逻辑推理能力等优点,因此被广泛用于计算机、自动控制、通信、测量等领域。一般家电产品中,如定时器、告警器、控制器、电子钟表、电子玩具等都要用数字逻辑电路。 (阐述数字逻辑的现状、目的、意义、功能、方法及作用)2第一种数字逻辑电路 方法原理及功能 数据选择器又称为多路开关,是一种重要的组合逻辑器件,它可以实现从多路数据中选择任何一路数据输出,选择的控制由专门的端口编码决定,称为地址码,数据选择器可以完成很多的逻辑功能,例如函数发生器、桶形移位器、并串转换器、波形产生器等。 1、与非门实现二选一数据选择器: 用一种74SL153及门电路设计实现一位全加器,输入用三个单刀双掷开关分别代表A、B、C,输出用两个指示灯分别代表L1、L1。 设计过程与结果(描述方法的操作过程和结果,配截图详细介绍) 在元件库中单击TTL,再单击74LS系列,选中74LS153D。

仿真结果实际结果 L 1 亮单独打开开关A,B,C时; L1灯泡亮 L 2 亮任意打开两个开关; 灯泡L2亮

L 1 和 L 2 都 亮 同时打开开关A,B,C时; 灯泡L1,L2同时亮。 心得体会 经过许多次的失败,在不断尝试中选择一个适合的方式去解决问题,加强对电路的 理解。通过该实验可以培养我们的动手能力和对数字电路的理解。经检验,符合真值表, 达到数据选择的作用。74ls153为双四选一数据选择器,几多一个非门和或门可以组成 数据比较器。能更好的掌握相关芯片的知识,了解其用途。 失败电路一: 失败电路二:

数电课程设计报告

数电课程设计报告 第一章设计背景与要求 设计要求 第二章系统概述 设计思想与方案选择 各功能块的组成 工作原理 第三章单元电路设计与分析 各单元电路的选择 设计及工作原理分析 第四章电路的组构与调试 遇到的主要问题 现象记录及原因分析 解决措施及效果 功能的测试方法,步骤,记录的数据 第五章结束语 对设计题目的结论性意见及进一步改进的意向说明总结设计的收获与体会 附图(电路总图及各个模块详图) 参考文献 第一章设计背景与要求 一.设计背景与要求

在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。 设计一个简易数字钟,具有整点报时和校时功能。 (1)以四位LED数码管显示时、分,时为二十四进制。 (2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。 (3)整点报时采用蜂鸣器实现。每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。 (4)才用两个按键分别控制“校时”或“校分”。按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。 二.设计要求 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选择课题、电子电路设计、组装、调试和编写总结报告等实践内容。通过本次简易数字钟的设计,初步掌握电子线路的设计、组装及调试方法。即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求。 第二章系统概述 设计思想与方案选择 方案一,利用数字电路中学习的六十进制和二十四进制计数器和三八译码器来实现数字中的时间显示。 方案二,利用AT89S51单片机和74HC573八位锁存器以及利用C语言对AT89S51进行编程来实现数字钟的时间显示。 由于方案一通过数电的学习我们都比较熟悉,而方案二比较复杂,涉及到比较多我们没学过的内容,所以选择方案一来实施。 简易数字钟电路主体部分是三个计数器,秒、分计数器采用六十进制计 数器,而时计数器采用二十四进制计数器,其中分、时计数器的计数脉 冲由

数电课程设计题目汇总资料

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗:

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 〖主要参考元器〗:CC4511,CC14522,CD4060

数电自主设计实验报告——Verilog秒表

姓名班级学号 实验日期节次教师签字成绩 基于BASYS2开发板的 记忆秒表设计 一、实验目的 1、熟悉基于Verilog HDL语言输入方式的数字电路的设计方法。 2、掌握基于FPGA的设计流程。 3、熟悉BASYS2开发板的使用方法。 4、熟悉Xilinx ISE软件的使用方法。 5、培养自己独立自主设计并完成实验的能力。 二、总体设计方案或技术路线 本实验利用BASYS2开发板的已有资源来进行设计实验,并用Xilinx ISE软件来编写和综合Verilog代码。总体设计方案是设计一个带有记忆功能的秒表。具体而言,该秒表通过BASYS2开发板的50M的时钟进行分频计时,最大计时时间为99.99s,用4位数码管动态显示计时时间,除了有基本的运行、暂停及复位清空功能,还有存储当前时间和查看存储时间的功能。 三、实验电路图 BASYS2开发板原理图--数码管 板上数码管为4位共阳极数码管,每段为低电平点亮,位选接了三极管增大驱动电流,同时为非逻辑,所以位选信号为低电平有效。 BASYS2开发板原理图--按键 本实验用到了两个按键BTN0和BTN1,BTN0为复位按键,对应程序的clear信号,BTN1为存储按键,对应程序的btn[1]信号,按一次该按键数据存储一次,下一次按下时这一次存的数据将被替换掉。 BASYS2开发板原理图--开关 本实验用到了两个开关SW7和SW1,SW7为运行、暂停开关,对应程序的sw[0]信号,开关打到上方为运行,下方为暂停,SW1为显示切换开关,对应程序的sw[1]信号,在计时暂停的前提下,将开关打到上方显示出存储的时间数据。 四、仪器设备名称、型号和技术指标 硬件:BASYS2开发板 软件:Xilinx ISE(编程)、Digilent Adept(下载) 五、程序流程图 六、程序源代码 /////////////////////////////////////////////////////////程序文件 `timescale 1ns / 1ps //////////////////////////////////////////////////////////////////////////////////

哈工大数电自主实验 数字流水灯

Harbin Institute of Technology 数字电路自主设计实验 院系:航天学院 班级: 姓名: 学号: 指导教师: 哈尔滨工业大学

一、实验目的 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数电课程实验为我们提供了动手实践的机会,增强动手实践的能力。 二、实验要求 设计流水灯,即一排灯按一定的顺序逐次点亮,且可调频、暂停、步进。 三、实验步骤 1.设计电路实现题目要求,电路在功能相当的情况下设计越简单越好; 2. 画出电路原理图(或仿真电路图); 3.元器件及参数选择; 4.电路仿真与调试; 5.到实验时进行电路的连接与功能验证,注意布线,要直角连接,选最短路径,不要相互交叉,注意用电安全,所加电压不能太高,以免烧坏芯片; 6.找指导教师进行实验的检查与验收; 7.编写设计报告:写出设计与制作的全过程,附上有关资料和图纸,心得体会。 四、实验原理 设计流水灯的方法有很多种,我的设计思路是: 利用555定时器产生秒脉冲信号,74LS161组成8进制计数器,74LS138进行译码,点亮电平指示灯。并通过调节555的电阻,实现频率可调。通过两与非门,实现暂停、步进功能。

1.秒信号发生器 (1)555定时器结构(2)555定时器引脚图 (3)555定时器功能表 (4)555定时器仿真图

2. 74LS161实现8进制加计数 74LS161是常用的四位二进制可预置的同步加法计数器,它可以灵活地运用在各种数字电路,以及单片机系统中实现分频器等很多重要的功能。 (1)74LS161同步加法器引脚图 管脚图介绍: 始终CP和四个数据输入端 P0-P3 清零CLR 使能EP,ET 置数PE 数据输出端Q0-Q3 进位输出TC (2)74LS161功能表 (5)74LS161仿真图 对74LS161进行八进制计数改组,需要一个与非门,即芯片74LS00,也就是将74LS161的输出端通过与非门,当输出为8时将输出为高电平的端口与非后接到74LS161的清零段。即计数到8是异步清零,所以74LS161变为八进制计数。

相关文档
最新文档