多功能数字钟的电路设计-数电课程设计报告

多功能数字钟的电路设计-数电课程设计报告
多功能数字钟的电路设计-数电课程设计报告

吉林建筑大学

电气与计算机学院

数字电子技术课程设计报告

设计题目:多功能数字钟的电路设计专业班级:自动化141

学生姓名:

学号:

指导教师:

设计时间:2016.06.20-2016.07.01

多功能数字钟的电路设计报告

一、设计任务及要求

本课程设计的基本任务,通过指导学生循序渐进地独立完成数字电路的设计任务,加深学生对理论知识的理解,有效地提高了学生的动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。侧重提高学生在数字电路应用方面的实践技能,树立严谨的科学作风,培养学生综合运用理论知识解决实际问题的能力。学生通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。

设计要求:

1.时钟显示功能,能够以十进制显示“时”、“分”、“秒”。

2.具有校时功能,可分别对“时”、“分”进行单独校时。

3.能用硬件成功实现以上各功能。

4.具有整点自动报时功能,整点前的6s自动发出鸣叫声,步长1s,每1s 鸣叫一次,前五响是低音,最后一响为高音。

二、设计的作用、目的

数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。因此,我们此次设计与制做数字钟就是为了了解数字钟的原理,从而学会制作数字钟.而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法.且由于数字钟包括组合逻辑电路和时叙电路.通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。掌握数字钟的设计、组装与调试方法。熟悉集成电路的使用方法。

三、设计过程

1.方案设计与论证

1.1系统设计思路

能按时钟功能进行小时、分钟、秒计时,能调时调分,能整点报时,使

用3个2位数码管显示。

1.2总体方案系统原理框图

数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计时的过程中必然会产生一定的误差,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。图1所示为数字钟的一般构成框图。

图 1系统原理框图

该系统的工作原理是:振荡器产生的稳定高频脉冲信号,作为数字钟的时间基准,再经过分频器输出标准秒脉冲。秒计数器计满60后向分计数器进位,分计数器计满60后向小时计数器,小时计数器采用12进制计数,并通过一LED来提示上下午。计数器的输出经译码器送显示器。计时出现误差时可以用标准时电路进行

校时、校分、校秒。扩展电路(整点报时系统)在主体电路正常运行的情况下才能进行扩展。

1.3振荡器电路

振荡器是数字钟的核心。振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度。

方案一:

振荡器的频率越高,计时精度越高。通常选用石英晶体构成振荡器电路(如图2)。石英晶体振荡器的作用是产生时间标准信号。因此,一般采用石英晶体振荡器经过分频得到这一时间脉冲信号。

图 2 石英晶体振荡器图

如果精度要求不高刚也可以采用由集成逻辑门与R、C组成的时钟源振荡器或由集成电路定时器555与R、C组成的多谐振荡器。

方案二:

由集成逻辑门与RC组成的时钟源振荡器。

图 3 门电路组成的多谐振荡器图

石英晶体振荡电路:采用的32768晶体振荡电路,其频率为32768Hz,然后再经过15分频电路可得到标准的1Hz的脉冲输出.R的阻值,对于TTL门电路通常在0.7~2KΩ之间;对于CMOS门则常在10~100MΩ之间。

由门电路组成的多谐振荡器的振荡周期不仅与时间常数RC有关,而且还取

决于门电路的阈值电压V

TH ,由于V

TH

容易受到温度、电源电压及干扰的影响,因

此频率稳定性较差,只能用于对频率稳定性要求不高的场合。

综上分析,选择方案一,用555组成的脉冲产生电路做为信号源,它工作稳定而且误差较小,在此课设中可以较好的满足要求。

1.4分频器电路

分频器的功能主要有两个:一是产生标准秒脉冲信号;二是提供功能扩展电路所需要的信号,如仿电台报时用的1KHz的高音频信号和500KHz的低音频信号等。因此,可以选用3片我们较熟悉的中规模集成电路计数器74LS90可以完成上述功能。因每片为1/10分频,3片级联则可获得所需要的频率信号,即每1片Q0端输出频率为500Hz,每2片Q3输出为10Hz,每3片的Q3端输出1Hz。

1.5时间计数器电路

一般采用10进制计数器来实现时间计数单元的计数功能。为减少器件使用数量,可选74LS90,其内部逻辑框图如图4所示。该器件为双2-5-10异步计数

器,并且每一计数器均提供一个异步清零端(高电平有效)。

图 4 74LS90内部逻辑框图

秒个位计数单元为10进制计数器,无需进制转换,只需将Q0与CPW (下降沿有效)相连即可。CPR (下降沿有效)与1Hz 秒输入信号相连,Q3可作为向上的进位信号与十位计数单元的CPR 相连。

秒十位计数单元为6进制计数器,需要进制转换,可以利用74LS90的有两个清零端的特点,在不用门电路的情况下实现10进制转6进制,具体电路见下面设计图。

分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同。

时计数单元电路是一个“12翻1”的特殊进制计数器,即当数字钟运行到12时59分59秒,秒的个位计数器再输入一个脉冲时,数字钟应自动显示01时00分00秒,实现日常生活习惯用的计时规律。选用74LS192和74LS74实现。74LS192实现时个位的十进制,74LS74实现时十位的二进制,电路如图8所示。

1.6译码驱动及显示单元电路

译码电路的功能是将“秒”、“分”、“时”计数器的输出代码进行翻译,变成相应的数字。用于驱动LED 七段数码管的译码器常用的有74LS48。74LS48是BCD-7段译码器/驱动器,其输出是OC 门输出且低电平有效,专用于驱动LED

七段共阴极显示数码管。如图9所示。若将“秒”、“分”、“时”计数器的每位输出分别接到相应七段译码器的输入端,便可进行不同数字的显示。

1.7校时电路:

方案一:

通常,校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可。根据要求,数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中。图5所示为所设计的校时电路。

图 5 方案一校正电路图

方案二:

方案二与方案一相比,在开关两边多了0.01uf的电容防抖动。

图6方案二校正电路图

通过比较可知,方案二比方案一多了防抖动的措施,稳定性更好,所以选择方案二,既能实现防抖动功能,做出事物也更经济一些。

1.8 整点自动报时功能的设计

整点自动报时功能的电路的设计要求是:每当数字钟计时块要到正点时发出声响,通常按照5低音1高音的顺序发出声响,以最后一声高音结束的时刻为正点时刻。

自动正点报时的电路如图7所示。这里采用的都是TTL与非门,如果用其他器件,则报时电路还会简单一些。

图7 自动报时电路

设5声低音(约500Hz)分别发生在59分54秒,55秒,56秒,57秒和58秒,最后一声高音(约1KHz)发生在59分59秒,它们的持续时间均为1秒。如表1所示

表1 秒个位计数器的状态

2.单元电路设计、参数计算和元器件的选择

2.1时间脉冲产生电路的设计

图 8 产生1Hz时间脉冲的电路图

555构成振荡电路和74LS90构成分频电路。如图8,555输出1KHz的脉冲,三片74LS90级联分频即可得500Hz和1Hz信号。

2.2计数电路的设计

秒、分计数器为60进制计数器。小时计数器为12进制计数器。实现这两种模数的计数器采用中规模集成计数器74LS90,74LS192和74LS74。

60进制计数器的设计

“秒”计数器电路与“分”计数器电路都是60进制,它由一级10进制计数器和一级6进制计数器连接构成。如图9所示由74LS90构成的60进制计数器。首先将两片74LS90设置成十进制加法计数器,将两片计数器并行进位则最大可实现100进制的计数器。现要设计一个60进制的计数器,可利用“反馈清零”的方法实现。因为74LS90有两个异步清零端R0(1)他R0(2),分别用十位端人Q2和Q0与他们相连,当计数器输出“2Q32Q22Q12Q0、1Q3Q2Q1Q0=0110、0000”时,通过门电路形成一置数脉冲,使计数器归零,这样可以不用门电路实现60进制计数器。

图9 60进制电路图

12进制计数器的设计

同理,将D触发器的输出和74LS192的Q1和Q0输入到四输入的与非门,输出端输入到D触发器靖零R端和74LS192的置数端PL,当个位计数状态为“Q3Q2Q1Q0=0011”,十位计数器状态为“Q=1”时,D触发器归零,74LS192计数器归一。计时器刚开始工作时时会显示“02”,图中开关用于清零,然后用手动调到“01”即可正常工作。

图10 12进制计数器图

2.3 译码及驱动显示电路

译码电路的功能是将“秒”、“分”、“时”计数器的输出代码进行翻译,变成相应的数字。用于驱动LED七段数码管的译码器常用的有74LS48。74LS48是BCD-7段译码器/驱动器,其输出是OC门输出且高电平有效,专用于驱动LED 七段共阴极显示数码管。由74LS48和LED七段共阳数码管组成的一位数码显示电路如图 11 所示。若将“秒”、“分”、“时”计数器的每位输出分别接到相应七段译码器的输入端,便可进行不同数字的显示。

图 11译码及驱动显示电路图

2.4 校时电路的设计

校时电路用于调节时间。一个开关来实现此功能,由于机械开关在接通时会产生抖动现象,所以需要加一个去抖动电路,可以用4013芯片实现。电路如图12,图中,去机械开关抖动电路输出信号与秒位进位信号加一个或门,作为分位的时钟信号。

图12 去抖动校时电路

分位时钟信号

2.5 整点自动报时电路

根据要求,电路应在整点前6秒钟内开始整点报时,即当时间在59分54秒到59分59秒期间时,报时电路报时控制信号。当时间在59分54秒到59分59秒期间时,分十位、分个位和秒十位均保持不变,分别为5、9和5,因此可将分计数器十位的QC和QA、个位的QD和QA及秒计数器十位的QC和QA相与,从而产生报时控制信号。

选蜂鸣器为电声器件,蜂鸣器是一种压电电声器件,当其两端加上一个直流电压时就会发出鸣叫声,两个输入端是极性的,其较长引脚应与高电位相连,图13的三极管时为了驱动蜂鸣器。

图 13报时电路图

3.电路的安装与调试

(1)在检测CD4511驱动电路的过程中发现数码管不能正常显示的状况,经检验发现主要是由于接触不良的问题,其中包括线的接触不良和芯片的接触不良,在实验过程中,数码管有几段二极管时隐时现,有时会消失。用5V电源对数码管进行检测,一端接地,另一端接触每一段二极管,发现二极管能正常显示的,再用万用表欧姆档检测每一根线是否接触良好,在检测过程中发现有几根线有时能接通,有时不能接通,把接触不好的线重新接过后发现能正常显示了。其

次是由于芯片接触不良的问题,用万用表欧姆档检测有几个引脚本该相通的地方却未通,而检测的导线状况良好,其解决方法为把CD4511的芯片拔出,根据面包板孔的的状况重新调整其引脚,使其正对于孔,再用力均匀地将芯片插入面包板中,此后发现能正常显示。

(2)有时电路上电后,数码管没有全亮,经检查电路没问题。这是因为刚上电,74ls90的输出状态不定,有的码值超过十,译码芯片不能正常工作,则输出出错。在输入一个脉冲之后数码管又显示正常。

(3)连接分频电路时,把时个位的QD和时十位的1脚断开,然后时十位的1脚接到晶振的3脚,时十位的3脚接到秒个位的1脚,所连接的电路图无法正常工作,时十位从0-9的跳,时个位只能显示一个0,在这个电路中3脚的分频用到两次,故无法正常显示,因此要把12进制接到74HC390的一个逻辑电路空出来用于分频即可,因此把时十位的CD4511的12、6脚接地,7脚改为接74HC390的5脚,74HC390的3、4脚断开,然后4脚接9脚即可,其中空出的74HC390的3脚就可用于2Hz的分频,分频后变为1Hz,整个电路也到此为正常的数字钟计数。

四、心得体会及建议

经过长达两个星期的设计与思考,最终在Proteus上完成了数字钟的模拟。其间遇到了许多问题,但最后都一一得到解决。现将心得体会总结如下:

1. 设计初期要考虑周到,否则后期改进很困难。应该在初期就多思考几个方案,进行比较论证,选择最合适的方案动手设计。总体设计在整个设计过程中非常重要,应该花较多的时间在上面。

2. 方案确定后,才开始设计。设计时,多使用已学的方法,如列真值表,化简逻辑表达式,要整体考虑,不可看一步,做一步。在整体设计都正确后,再寻求简化的方法。

3. 在设计某些模块的时候无法把握住整体,这时可以先进行小部分功能的实现,在此基础上进行改进,虽然可能会多花一些时间,但这比空想要有效的多。

4. 尽可能是电路连线有序,模块之间关系清楚,既利于自己修改,也利于与别人交流。如果电路乱的连自己都看不懂,那还如何改进和扩展。

5. 很多难点的突破都来自于与同学的交流,交流使自己获得更多信息,开

拓了思路,因此要重视与别人的交流。

6. 应该有较好的理论基础,整个实验都是在理论的指导下完成了,设计过程中使用了许多理论课上学的内容,如真值表、卡拉图等。本次设计把理论应用到了实践中,同时通过设计,也加深了自己对理论知识的理解和掌握。

五、附录

电子元件清单

总电路原理图

六、参考文献

[1] 阎石.数字电子技术基础第5版.高等教育出版社.2006.

[2] 康华光.电子技术基础:数字部分第4版.高等教育出版社.2000.

[3] 黄智伟.电子电路计算机仿真设计与分析.电子工业出版社.2006.

[4] 吕思忠,施齐云.数字电路实验与课程设计.哈尔滨工程大学出版社.2001.

[5] 毛期俭等.数字电路与逻辑设计实验及应用.人民邮电出版社.2005.

[6] 黄正瑾.在系统编程技术及其应用.东南大学出版社.1999.

[7] 路而红.专用集成电路设计与电子设计自动化.清华大学出版社.2004.

电子技术课程设计多功能数字时钟范文

电子技术课程设计多功能数字时钟

电子技术课程设计 数字钟的设计 一、设计任务与要求 1.能直接显示“时”、“分”、“秒”十进制数字的石英数字 钟。 2.能够24小时制或12小时制。 3.具有校时功能。能够对小时和分单独校时,对分校时的时候, 停止分向小时进位。校时时钟源能够手动输入或借用电路中的时钟。 4.整点能自动报时,要求报时声响四低一高,最后一响为整点。 5.走时精度高于普通机械时钟(误差不超过1s/d)。 二、方案设计与认证 1、课题分析 数字时钟一般由6个部分组成,其中振荡器和分频器组成标准的秒信号发生器,由不同进制的计数器,译码器和显示器组成计时系统。秒信号送入计数器进行计数,把累计的结果以“时”、“分”、“秒”的十进制数字显示出来。“时”显示由二十四进制计数器、译码器和显示器构成,“分”、“秒”显示分别由六十进制计数器、译码器构成。其原理框图如图1所示。

2、方案认证 (1)振荡器 振荡器是计时器的核心,主要用来产生时间标准信号,也叫时基信号。数字钟的精度,主要取决于时间标准信号的频率及稳定度。振荡器的频率越高,计时的精度就越高,但耗电量将增大。一般采用石英晶体振荡器经过分频后得到这一信号,也可采用由555定时器构成的多谐振荡器作为时间标准信号。 (2)分频器 振荡器产生的时基信号一般频率都很高,要使它变成能用来计时的“秒”信号,需由分频器来完成。分频器的级数和每级的分频次数要根据时基频率来定。例如,当前石英电子钟多采用32768 Hz的标准信号,将此信号经过15级二分频即可得到周期为1s的

“秒”信号。也可选用其它频率的时基信号,确定好分频次数后再选择合适的集成电路。 (3)计数器 数字钟的“秒”、“分”信号产生电路都由六十进制计数器构成,“时”信号产生电路由二十四进制计数器构成。“秒”和“分”计数器用两块十进制计数器来实现是很容易的,它们的个位为十进制,十位为六进制,这样,符合人们一般计数习惯。“时”计数也能够用两块十进制计数器实现,只是做成二十四进制。上述计数器均可用反馈清零法来实现。 (4)译码显示电路 因本设计选用的计数器全部采用二-十进制集成块,因而计数器的译码显示均采用BCD-七段显示译码器,显示器采用共阴极或共阳极的七段显示数码管。 (5)校时电路 在刚开机接通电源或计时出现误差时,都需要对时间进行校正。校“时”电路的基本原理是将周期为0.5s的脉冲信号直接引进“时”计数器,同时将“分”计数器置零,让“时”计数器快速计数,在“时”的指示达到需要的数字后,切断0.5s的脉冲信号。 (6)整点报时电路 数字钟整点报时是最基本的功能之一。此电路要求每当“分”和

EDA课程设计——多功能数字钟

哈尔滨工业大学(威海) 电子学课程设计报告带有整点报时的数字钟设计与制作 姓名: 蒋栋栋 班级: 0802503 学号: 080250331 指导教师: 井岩

目录 一、课程设计的性质、目的和任务 (3) 二、课程设计基本要求 (3) 三、设计课题要求 (3) 四、课程设计所需要仪器 (4) 五、设计步骤 (4) 1、整体设计框图 (4) 2、各个模块的设计与仿真 (4) 2.1分频模块 (4) 2.2计数器模块 (6) 2.3控制模块 (10) 2.4数码管分配 (13) 2.5显示模块 (14) 2.6报时模块 (16) 六、调试中遇到的问题及解决的方法 (18) 七、心得体会 (18)

一、课程设计的性质、目的和任务 创新精神和实践能力二者之中,实践能力是基础和根本。这是由于创新基于实践、源于实践,实践出真知,实践检验真理。实践活动是创新的源泉,也是人才成长的必由之路。 通过课程设计的锻炼,要求学生掌握电路的一般设计方法,具备初步的独立设计能力,提高综合运用所学的理论知识独立分析和解决问题的能力,培养学生的创新精神。 二、课程设计基本要求 掌握现代大规模集成数字逻辑电路的应用设计方法,进一步掌握电子仪器的正确使用方法,以及掌握利用计算机进行电子设计自动化(EDA)的基本方法。 三、设计课题要求 (1)构造一个24小时制的数字钟。要求能显示时、分、秒。 (2)要求时、分、秒能各自独立的进行调整。 (3)能利用喇叭作整点报时。从59分50秒时开始报时,每隔一秒报时一秒,到达00分00秒时,整点报时。整点报时声的频率应与其它的报时声频有明显区别。 #设计提示(仅供参考): (1)对频率输入的考虑 数字钟内所需的时钟频率有:基准时钟应为周期一秒的标准信号。报时频率可选用1KHz和2KHz左右(两种频率相差八度音,即频率相差一倍)。另外,为防止按键反跳、抖动,微动开关输入应采用寄存器输入形式,其时钟应为几十赫兹。 (2)计时部分计数器设计的考虑 分、秒计数器均为模60计数器。 小时计数为模24计数器,同理可建一个24进制计数器的模块。 (3)校时设计的考虑 数字钟校准有3个控制键:时校准、分校准和秒校准。 微动开关不工作,计数器正常工作。按下微动开关后,计数器以8Hz频率连续计数(若只按一下,则计数器增加一位),可调用元件库中的逻辑门建一个控制按键的模块,即建立开关去抖动电路(见书70页)。 (4)报时设计的考虑

eda课程设计报告多功能数字钟设计大学论文

湖北大学物电学院EDA课程设计报告(论文) 题目:多功能数字钟设计 专业班级: 14微电子科学与工程 姓名:黄山 时间:2016年12月20日 指导教师:万美琳卢仕 完成日期:2015年12月20日

多功能数字钟设计任务书 1.设计目的与要求 了解多功能数字钟的工作原理,加深利用EDA技术实现数字系统的理解 2.设计内容 1,能正常走时,时分秒各占2个数码管,时分秒之间用小时个位和分钟个位所在数码管的小数点隔开; 2,能用按键调时调分; 3,能整点报时,到达整点时,蜂鸣器响一秒; 4,拓展功能:秒表,闹钟,闹钟可调 3.编写设计报告 写出设计的全过程,附上有关资料和图纸,有心得体会。 4.答辩 在规定时间内,完成叙述并回答问题。

目录(四号仿宋_GB2312加粗居中) (空一行) 1 引言 (1) 2 总体设计方案 (1) 2.1 设计思路 (1) 2.2总体设计框图 (2) 3设计原理分析 (3) 3.1分频器 (4) 3.2计时器和时间调节 (4) 3.3秒表模块 (5) 3.4状态机模块 (6) 3.5数码管显示模块 (7) 3.6顶层模块 (8) 3.7管脚绑定和顶层原理图 (9) 4 总结与体会 (11)

多功能电子表 摘要:本EDA课程主要利用QuartusII软件Verilog语言的基本运用设计一个多功能数字钟,进行试验设计和软件仿真调试,分别实现时分秒计时,闹钟闹铃,时分手动较时,时分秒清零,时间保持和整点报时等多种基本功能 关键词:Verilog语言,多功能数字钟,数码管显示; 1 引言 QuartusII是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL 以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程,解决了传统硬件电路连线麻烦,出错率高且不易修改,很难控制成本的缺点。利用软件电路设计连线方便,修改容易;电路结构清楚,功能一目了然 2 总体设计方案 2.1 设计思路 根据系统设计的要求,系统设计采用自顶层向下的设计方法,由时钟分频部分,计时部分,按键调时部分,数码管显示部分,蜂鸣器四部分组成。这些模块在顶层原理图中相互连接作用 3 设计原理分析 3.1 分频器 分频模块:将20Mhz晶振分频为1hz,100hz,1000hz分别用于计数模块,秒表模块,状态机模块 module oclk(CLK,oclk,rst,clk_10,clk_100); input CLK,rst; output oclk,clk_10,clk_100;

数字电路课程设计——数字钟

— 四川工业科技学院 电子信息工程学院课程设计 专业名称: 电子信息工程 课程名称:数字电路课程设计 \ 课题名称:自动节能灯设计 设计人员:蔡志荷 指导教师:廖俊东 2018年1月10日'

《模拟电子技术课程设计》任务书 一、课题名称:数字钟的设计 二、技术指标: (1)掌握数字钟的设计、组装和调试方法。 (2)熟练使用proteus仿真软件。 (3)熟悉各元件的作用以及注意事项。 三、要求: (1)设画出总体设计框图,以说明数字钟由哪些相对独立的功能模块组成,标出各个模块之间互相联系。 (2)设计各个功能模块的电路图,加上原理说明。 (3)选择合适的元器件,设计、选择合适的输入信号和输出方式,确保电路正确性。 指导教师:廖俊东 学生:蔡志荷 电子信息工程学院 2018 年 1 月 10 日

课程设计报告书评阅页 课题名称:数字钟的设计 班级:15级电子信息工程4班 姓名:蔡志荷 2018 年 1 月 10 日指导教师评语: 考核成绩:指导教师签名: 20 年月

目录 摘要 .......................................................错误!未定义书签。第1章设计任务与要求........................................错误!未定义书签。 设计指标数字钟简介......................................错误!未定义书签。 具体要求................................................错误!未定义书签。 设计要求................................................错误!未定义书签。第2章元件清单及主要器件介绍................................错误!未定义书签。 元件清单................................................错误!未定义书签。 主要器件介绍............................................错误!未定义书签。 74LS90计数.........................................错误!未定义书签。 74LS47 ..............................................错误!未定义书签。 七段数码显示器......................................错误!未定义书签。第3章设计原理与电路........................................错误!未定义书签。 计时电路................................................错误!未定义书签。 计秒、计分电路......................................错误!未定义书签。 计时电路...........................................错误!未定义书签。 校时电路................................................错误!未定义书签。 报时锁存信号........................................错误!未定义书签。 报时................................................错误!未定义书签。第4章仿真结果及误差分析....................................错误!未定义书签。 实验结果................................................错误!未定义书签。 实时分析................................................错误!未定义书签。第5章设计总结..............................................错误!未定义书签。参考文献......................................................错误!未定义书签。

数字电路课程设计数字时钟

《数字时钟》技术报告 概要 数字钟是一个将“时”,“分”,“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时,显示满刻度为23时59分59秒。一个基本的数字钟电路主要由秒信号发生器、“时、分、秒、”计数器、译码器及显示器组成。由于采用纯数字硬件设计制作,与传统的机械表相比,它具有走时准,显示直观,无机械传动装置等特点。 本设计中的数字时钟采用数字电路实现对“时”、“分”、“秒”的显示和调整。通过采用各种集成数字芯片搭建电路来实现相应的功能。具体用到了555震荡器,74LS90及与非,异或等门集成芯片等。该电路具有计时和校时的功能。 在对整个模块进行分析和画出总体电路图后,对各模块进行仿真并记录仿真所观察到的结果。 实验证明该设计电路基本上能够符合设计要求! 一、系统结构。 (1)功能。 此数字钟能显示“时、分、秒”的功能,它的计时周期是24小时,最大能显示23时59分59秒,并能对时间进行调整和校对,相对于机械式的手表其更为准确。 (2)系统框图。

系统方框图1 (3)系统组成。 1.秒发生器:由555芯片和RC组成的多谐振荡器,其555上3的输出频率由接入的电阻与电容决定。 2.校时模块:由74LS03中的4个与非门和相应的开关和电阻构成。 3.计数器:由74LS90中的与非门、JK触发器、或门构成相应芯片串接得到二十四、六十进制的计数器,再由74LS90与74LS08相连接而得到秒、分、时的进分别进位。 4.译码器:选用BCD锁存译码器4511,接受74LS90来的信号,转换为7段的二进制数。

5.显示模块:由7段数码管来起到显示作用,通过接受 CD4511的信号。本次选用的是共阴型的CD4511。 二、各部分电路原理。 1.秒发生器:555电路内部(图2-1)由运放和RS触发器共同组成,其工作原理由8处接VCC,C1处当Uco=2/3Vcc>u11时运放输出为1,同理C2也一样。最终如图3接口就输出矩形波,而形成的秒脉冲。 图2-1 内部结构图 图2-2 555功能表 2.校时模块:校时模块主要由74LS03中的4个与非门构成(图2-3),由其功能图看得出只要有一个输入端由H到L或者从L到H都会使输出端发生高低变化。因此通过开关的拨动产生高低信号从而对时、分处的计数器起到调数作用。

数字电路电子时钟课程设计

数字电路电子时钟课程设计 整个数字钟由时间计数电路、晶体振荡电路、校正电路、整点报时电路组成。 其中以校正电路代替时间计数电路中的时、分、秒之间的进位,当校时电路处于正常输入信号时,时间计数电路正常计时,但当分校正时,其不会产生向时 进位,而分与时的校位是分开的,而校正电路也是一个独立的电路。电路的信 号输入由晶振电路产生,并输入各电路 方案论证:方案一数字电子钟由信号发生器、“时、分、秒”计数器、译码 器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时 基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。 优点:数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械 式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。 方案二秒、分计数器为60进制计数器,小时计数器为24进制计数器。 实现这两种模数的计数器采用中规模集成计数器74LS90构成。 优点:简单易懂,比较好调试。 1 设计原理数字电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,一般用555构成的振荡器加分频器来实现。将标 准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分,发出一个“时脉冲”信号,该信号将被 送到“时计数器”。“时计数器”采用24进制计数器,可以实现一天24h的累计。译码显示电路将“时、分、秒”计数器的输出状态经七段显示译码器译码,通 过六位LED显示器显示出来。整点报时电路是根据计时系统的输出状态产生一

多功能数字钟数电课设

课程设计任务书 学生姓名:专业班级: 指导教师:工作单位:信息工程学院 题目: 多功能数字钟的设计与实现 初始条件: 本设计既可以使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等,也可以使用单片机系统构建多功能数字钟。用数码管显示时间计数值。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。 2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。 3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。 4)设计提供连续触发脉冲的脉冲信号发生器, 5)具有校时单元、闹钟单元和整点报时单元。 6)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (3) Abstract (4) 绪论 (5) 1仿真软件Proteus介绍 (6) 1.1Proteus概述 (6) 1.2Proteus功能特点 (6) 2方案论证 (8) 2.1方案一:采用中小规模集成电路模块实现 (8) 2.2方案二:采用单片机构建数字钟系统 (8) 2.3方案选择 (8) 3总体电路设计 (9) 3.1电路原理分析与设计 (9) 3.2系统原理图 (9) 4各模块电路分析 (10) 4.1时钟脉冲发生器 (10) 4.1.1方案一:RC振荡器 (10) 4.1.2方案二:555定时器 (11) 4.1.3方案三:石英晶体振荡器 (13) 4.1.4结论 (13) 4.2译码显示电路 (14) 4.3计数器电路 (16) 4.3.1 时计数电路 (17) 4.3.2 分计数电路 (18) 4.3.3秒计数电路 (19) 4.4 校时电路部分 (19) 4.4.1 方案一:快速脉冲法 (19) 4.4.1 方案二:按键单脉冲法 (20) 4.5 整点报时电路 (21) 4.6 闹钟电路 (22) 5总体电路设计与仿真 (23) 5.1总体电路图 (24) 5.2仿真结果分析 (24) 6 总结 (24) 参考文献 0

数电课程设计报告数字钟的设计

数电课程设计报告数字钟的设计

数电课程设计报告 第一章设计背景与要求 设计要求 第二章系统概述 2.1设计思想与方案选择 2.2各功能块的组成 2.3工作原理 第三章单元电路设计与分析 3.1各单元电路的选择 3.2设计及工作原理分析 第四章电路的组构与调试 4.1遇到的主要问题 4.2现象记录及原因分析 4.3解决措施及效果 4.4功能的测试方法,步骤,记录的数据 第五章结束语 5.1对设计题目的结论性意见及进一步改进的意向说明5.2总结设计的收获与体会 附图(电路总图及各个模块详图) 参考文献

第一章设计背景与要求 一.设计背景与要求 在公共场所,例如车站、码头,准确的时间显得特别重要,否则很有可能给外出办事即旅行袋来麻烦。数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确度和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用。数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。 设计一个简易数字钟,具有整点报时和校时功能。 (1)以四位LED数码管显示时、分,时为二十四进制。 (2)时、分显示数字之间以小数点间隔,小数点以1Hz频率、50%占空比的亮、灭规律表示秒计时。 (3)整点报时采用蜂鸣器实现。每当整点前控制蜂鸣器以低频鸣响4次,响1s、停1s,直到整点前一秒以高频响1s,整点时结束。 (4)才用两个按键分别控制“校时”或“校分”。按下校时键时,是显示值以0~23循环变化;按下“校分”键时,分显示值以0~59循环变化,但时显示值不能变化。 二.设计要求 电子技术是一门实践性很强的课程,加强工程训练,特别是技能的培养,对于培养学生的素质和能力具有十分重要的作用。在电子信息类本科教学中,课程设计是一个重要的实践环节,它包括选

数字逻辑电路课程设计数字钟

数字逻辑课程设计 数字钟 姓名: 学号: 班级:物联网工程131班 学院:计算机学院 2015年10月10日

一、任务与要求 设计任务:设计一个具有整点报时功能的数字钟 要求: 1、显示时、分、秒的十进制数字显示,采用24小时制。 2、校时功能。 3、整点报时。 功能: 1、计时功能: 要求准确计时,以数字形式显示时、分、秒的时间。小时的计时要求为“12翻1”。 2、校时功能: 当数字钟接通电源或者计时出现误差时,需要校正时间(简称校时)。校时是数字钟应具备的基本功能,一般电子手表都具有时、分、秒等校时功能。为使电路简单,这里只进行分和小时的校时。对校时电路的要求是:在小时校正时不影响分和秒的正常计数;在分校正时不影响秒和小时的正常计数。校时方式有“快校时”和“慢校时”两种。“快校时”是通过开关控制,使计数器对1Hz的校时脉冲计数。“慢校时”是用手动产生单脉冲作校时脉冲。 3、整点报时: 每当数字钟计时快要到整点时发出声响;通常按照4低音1高音的顺序发出间断声响;以最后一声高音结束的时刻为整点时刻。 二、设计方案 电路组成框图: 主体电路 扩 展 电 路时显示器 时译码器 时计数器 分显示器 分译码器 分计数器 校时电路 秒显示器 秒译码器 秒计数器 定时控制 仿电台报时 报整点时数

数字钟电路是一个典型的数字电路系统,其由时、分、秒计数器以及校时和显示电路组成。其主要功能为计时、校时和报时。利用60进制和12进制递增计数器子电路构成数字钟系统,由2个60进制同步递增计数器完成秒、分计数,由12进制同步递增计数器完成小时计数。秒、分、时之间采用同步级联的方式。开关S1和S2分别是控制分和时的校时。报时功能在此简化为小灯的闪烁,分别在59分51秒、53秒、55秒、57秒及59秒时闪烁,持续的时间为1秒。 三、设计和实现过程 1.各元件功能 74LS160:可预置BCD异步清除器,具有清零与置数功能的十进制递增计数器。 74LS00:二输入端四与非门 74LS04:六反相器 74LS08:二输入端四与门 74LS20:四输入端双与非门 2.各部分电路的设计过程 (1)时分秒计数器的设计 时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。 秒/分钟显示电路:由于秒钟与分钟的都是为60进制的,所以它们的电路大体上是一样的,都是由一个10进制计数器和一个6进制计数器组成;有所不同的是分钟显示电路中的10进制计数器的ENP和ENT引脚是由秒钟显示电路的进位信号控制的。 分和秒计数器都是模M=60的计数器,其计数规律为00—01—…—58—59—00…。可选两片74LS160设计较为简单。 时计数器是一个“12翻1”的特殊进制计数器,即当数字钟运行到12时59分59秒时,秒的个位计数器再输入一个秒脉冲时,数字钟应自动显示为01时00分00秒,实现日常生活中习惯用的计时规律。可选两片74LS160设计。

多功能数字钟(课程设计版)

题目: 多功能数字钟电路设计 器材:74LS390,74LS48,数码显示器BS202, 74LS00 3片,74LS04,74LS08,电容,开关,蜂鸣器,电阻,导线 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 指导教师签名:年月日 系主任(或责任教师)签名:年月日

索引 摘要 (4) Abstract (4) 1系统原理框图 (6) 2方案设计与论证 (7) 2.1时间脉冲产生电路 (7) 2.2分频器电路 (10) 2.3时间计数器电路 (11) 2.4译码驱动及显示单元电路 (12) 2.5校时电路 (13) 2.6报时电路 (14) 3单元电路的设计 (15) 3.1时间脉冲产生电路的设计 (15) 3.2计数电路的设计 (16) 3.2.1 60进制计数器的设计 (16) 3.2.2 24进制计数器的设计 (16) 3.3 译码及驱动显示电路 (17) 3.4 校时电路的设计 (18)

3.5 报时电路 (19) 3.6电路总图 (21) 4仿真结果及分析 (22) 4.1时钟结果仿真 (22) 4.2 秒钟个位时序图 (22) 4.3报时电路时序图 (23) 4.4测试结果分析 (23) 5心得与体会 (24) 6参考文献 (24) 附录1原件清单 (26) 附录2部分芯片引脚图与功能表 (27)

单片机课程设计--数字钟

单片机课程设计--数字钟 一、设计目的及意义 (1)巩固、加深和扩大51系列单片机应用的知识面,提高综合及灵活运用所学知识解决工业控制的能力; (2)培养针对课题需要,选择和查阅有关手册、图表及文献资料的自学能力,提高组成系统、编程、调试的动手能力; (3)对课题设计方案的分析、选择、比较、熟悉用51单片机做系统开发、研制的过程,软硬件设计的方法、内容及步骤 二、原理图设计中简要说明设计目的 (1)功能:24小时制时间显示,可随时进行时间校对调整,整点报时及闹钟功能。 (2)原理图中所使用的元器件功能在图中的作用 1.主要元件AT89C51 P3.2 /INT0(外部中断0) 定时器/计数器0溢出中断 2.LED及按键开关 用于时间的显示和设定 (3)各器件的工作过程及顺序 计时状态,AT89C51通过P1口持续向LED发送信号,使LED扫描显示刚前时分秒,当出现定时器/计数器0溢出中断时,时间加多1秒,AT89C51从P1口向LED输出新的时间;只按住SET UP键时,进入外部中断0,时间计数停止,通过点击按键H,M,S对时分秒进行调整,新的时间值送给了计时程序,松开SET UP键退出中断,回到计时状态; 按住SET UP键和ALARM键时,进入外部中断0,时间计数停止,通过点击按键H,M对时分进行闹钟定时,AT89C51记忆时分值,退出时先松开SET UP键再松开ALARM; 闹铃:当时间值和设定闹铃值一样时,进行闹铃一分钟。

(3)流程图 Y Y 按下设定键 N (4)程序清单 #include #define uint unsigned int #define uchar unsigned char sbit wela1=P2^0; sbit wela2=P2^1; sbit wela3=P2^2; sbit wela4=P2^3; sbit wela5=P2^4; sbit wela6=P2^5; sbit dp= P1^7; sbit c0= P0^0; sbit c1= P0^1; sbit c2= P0^2; sbit c3= P0^3; sbit c4= P0^4; sbit c5= P0^5; 定时器溢出中断0 LED 扫描显示 初始设定 时间加1秒 外部中断0 按下闹钟? 时间调整 闹钟定时 时间相同? 闹铃

数字电路课程设计——数字钟

四川工业科技学院 电子信息工程学院课程设计专业名称:电子信息工程 课程名称:数字电路课程设计 课题名称:自动节能灯设计 设计人员:蔡志荷 指导教师:廖俊东 2018年1月10日

《模拟电子技术课程设计》任务书 一、课题名称:数字钟的设计 二、技术指标: (1)掌握数字钟的设计、组装和调试方法。 (2)熟练使用proteus仿真软件。 (3)熟悉各元件的作用以及注意事项。 三、要求: (1)设画出总体设计框图,以说明数字钟由哪些相对独立的功能模块组成,标出各个模块之间互相联系。 (2)设计各个功能模块的电路图,加上原理说明。 (3)选择合适的元器件,设计、选择合适的输入信号和输出 方式,确保电路正确性。 指导教师:廖俊东 学生:蔡志荷 电子信息工程学院 2018年1月10日

课程设计报告书评阅页 课题名称:数字钟的设计 班级:15级电子信息工程4班 姓名:蔡志荷 2018年1月10日指导教师评语: 考核成绩:指导教师签名: 20 年月

目录 摘要 (1) 第1章设计任务与要求 (2) 1.1 设计指标数字钟简介 (2) 1.2 具体要求 (2) 1.3 设计要求 (3) 第2章元件清单及主要器件介绍 (4) 2.1 元件清单 (4) 2.2 主要器件介绍 (4) 2.2.1 74LS90计数 (4) 2.2.2 74LS47 (5) 2.2.3 七段数码显示器 (7) 第3章设计原理与电路 (8) 3.1 计时电路 (8) 3.1.1 计秒、计分电路 (8) 3.1.2 计时电路 (10) 3.2 校时电路 (11) 3.2.1 报时锁存信号 (13) 3.2.2 报时 (13) 第4章仿真结果及误差分析 (15) 4.1 实验结果 (15) 4.2 实时分析 (15) 第5章设计总结 (16) 参考文献 (17)

多功能数字钟电路设计

课程设计任务书 学生姓名: XXX 专业班级: 指导教师:工作单位: 题目: 多功能数字钟电路设计 初始条件:74LS390,74LS48,数码显示器BS202各6片,74LS00 3片,74LS04,74LS08各 1片,电阻若干,电容,开关各2个,蜂鸣器1个,导线若干。 要求完成的主要任务: 用中、小规模集成电路设计一台能显示日、时、分秒的数字电子钟,要求如下: 1.由晶振电路产生1HZ标准秒信号。 2.秒、分为00-59六十进制计数器。 3.时为00-23二十四进制计数器。 4.可手动校正:能分别进行秒、分、时的校正。只要将开关置于手动位置。可分别对秒、分、时进行连续脉冲输入调整。 5.整点报时。整点报时电路要求在每个整点前鸣叫五次低音(500HZ),整点时再鸣叫一次高音(1000HZ)。 时间安排: 第20周理论设计、实验室安装调试,地点:鉴主15楼通信实验室一 指导教师签名:年月日 系主任(或责任教师)签名:年月日

多功能数字钟电路设计 摘要 (1) Abstract (2) 1系统原理框图 (3) 2方案设计与论证 (4) 2.1时间脉冲产生电路 (4) 2.2分频器电路 (6) 2.3时间计数器电路 (7) 2.4译码驱动及显示单元电路 (8) 2.5校时电路 (8) 2.6报时电路 (10) 3单元电路的设计 (12) 3.1时间脉冲产生电路的设计 (12) 3.2计数电路的设计 (12) 3.2.1 60进制计数器的设计 (12) 3.2.2 24进制计数器的设计 (13) 3.3译码及驱动显示电路 (14) 3.4 校时电路的设计 (14) 3.5 报时电路 (16) 3.6电路总图 (17) 4仿真结果及分析 (18) 4.1时钟结果仿真 (18) 4.2 秒钟个位时序图 (18) 4.3报时电路时序图 (19) 4.4测试结果分析 (19) 5心得与体会 (20) 6参考文献 (21) 附录1原件清单 (22) 附录2部分芯片引脚图与功能表 (23) 74HC390引脚图与功能表 (23)

多功能数字钟实验报告

《多功能数字钟电路的设计、制作》 课程设计报告 班级:(兴) 2008级自动化 姓名:胡荣 学号:2008960623 指导教师:刘勇 2010年11月13日

目录 一、设计目的.................................1 二、设计内容及要求...........................1 三、总设计原理...............................1 四、主要元件及设备...........................2 五、单元电路的设计...........................5 1、数字电子计时器组成原理.................5 2、用74LS160实现12进制计数器..............6 3、校时电路...............................7 4、时基电路设计...........................8 六、设计总电路图.............................8 七、设计结果及其分析.........................8 八、设计过程中的问题及解决方案...............9 九、心得体会.................................9 十、附录.....................................10

多功能数字钟电路设计 一、设计目的 通过课程设计要实现以下两个目标:一、初步掌握电子线路的设计、组装及调试方法。即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;二、课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让我们开始从理论学习的轨道上逐渐引向实际方面,运用已学过的分析和设计电路的理论知识,逐步掌握工程设计的步骤和方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、设计内容及要求 1、功能要求: ①基本功能: 以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。 ②扩展功能: 定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。 2、设计步骤与要求: ①拟定数字钟电路的组成框图,要求先实现电路的基本功能,后扩展功能,使用的器件少,成本低; ②设计各单元电路,并用Multisim软件仿真; ③在通用电路板上安装电路,只要求显示时分; ④测试数字钟系统的逻辑功能; ⑤写出设计报告。设计报告要求:写出详细地设计过程(含数字钟系统的整机逻辑电路图)、调试步骤、测试结果及心得体会。 三、总设计原理 数字电子钟原理是一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。 四、主要元件及设备 1、给定的主要器件: 74LS00(4片),74LS160(4片)或74LS161(4片),74LS04(2片),74LS20(2片),74LS48(4片),数码管BS202(4只),555(1片),开关(1个),电阻47k(2个)电容10uF(1个)10nF(1个) 各元件引脚图如下图:

推荐-基于多功能数字钟的课程设计报告 精品

EDA技术课程设计 多功能数字钟 学院:城市学院 专业、班级: 姓名: 指导老师: 20XX年12月

目录 1、设计任务与要求 (2) 2、总体框图 (2) 3、选择器件 (2) 4、功能模块 (3) (1)时钟记数模块 (3) (2)整点报时驱动信号产生模块 (6) (3)八段共阴扫描数码管的片选驱动信号输出模块 (7) (4)驱动八段字形译码输出模块 (8) (5)高3位数和低4位数并置输出模块 (9) 5、总体设计电路图 (10) (1)仿真图 (10) (2)电路图 (10) 6、设计心得体会 (11)

一、设计任务与要求 1、具有时、分、秒记数显示功能,以24小时循环计时。 2、要求数字钟具有清零、调节小时、分钟功能。 3、具有整点报时,整点报时的同时输出喇叭有音乐响起。 二、总体框图 多功能数字钟总体框图如下图所示。它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。 系统总体框图 三、选择器件 网络线若干、共阴八段数码管4个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、 seltime(驱动4位八段共阴扫描数码管的片选 驱动信号输出模块)、deled(驱动八段字形译 码输出模块)。

四、功能模块 多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。 (1) 时钟记数模块: <1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。 VHDL程序如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity hour24 is port( clk: in std_logic; reset:instd_logic; qh:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0); ql:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); end hour24; architecture behav of hour24 is begin process(reset,clk) begin if reset='1' then qh<="000"; ql<="0000"; elsif(clk'event and clk='1') then if (qh<2) then if (ql=9) then ql<="0000"; qh<=qh + 1; else ql<=ql+1; end if; else if (ql=3) then ql<="0000"; qh<="000"; else ql<=ql+1; end if; end if; end if; end process; end behav; 仿真波形如下:

数字电子课程设计数字钟

数字电路课程设计报告 目录 一、………设计课题 二、………设计任务 三、………设计要求 四、………分析及设计过程 五、………组装及调试过程 六、………参考文献(各芯片功能) 七、………设计心得及总结

一、设计课题 多功能数字钟电路设计. 二、设计任务 1给定的主要器件: 芯片数量芯片数量555 1 74ls191 1 74ls90 2 74ls74 1 74ls92 1 74ls00 2 74ls47 4 2实验原理图:

三、数字钟的功能要求 ①基本功能 以数字形式显示时、分、秒的时间,为节省器件,其中秒的个位可以用发光二极管指示,小时的十位亦可以用发光二极管指示,灯亮为“1”,灯灭为“0”。小时计数器的计时要求为“12翻1”。要求手动快速校时、校分或慢校时、慢校分。②扩展功能定时控制,其时间自定;仿广播电台整点报时;触摸报整点时数或自动报整点时数。 2、设计步骤与要求:①拟定数字钟电路的组成框图,要求设计优化,电路功能多,器件少,成本低。②设计并安装各单元电路,要求布线整齐、美观,便于级联与调试。③测试数字钟系统的逻辑功能,使满足设计功能的要求。④画出数字钟系统的整机逻辑电路图。⑤写出课程设计实验报告。 四、设计分析于过程 本课题是数字电路中计数、分频、译码、显示及时钟振荡器等组合逻辑电路与时序逻辑电路的综合应用。通过学习,要求掌握多功能数字钟电路的设计方法、装调技术及数字钟的扩展应用。 1、数字钟的功能要求(1)基本功能:①准确计时,以数字形式显示时、分、秒的时间;②小时的计时要求为“12翻1”,分和秒的计时要求为60进位;③校正时间。(2)扩展功能①定时控制;②仿广播电台整点报时; ③报整点时数;④触摸报整点时数。 2、数字钟电路系统的组成框图

#用Verilog语言编写的多功能数字钟

2009—2010学年第二学期 《数字电子技术课程设计》报告 专业班级:电气-08-1 姓名:曹操 学号:08051127 设计日期:2010年8月23日~27日 一.设计题目 多功能数字钟电路设计 二.设计任务及要求 多功能数字钟应该具有的功能有:显示时—分—秒、整点报时、小时和分钟可调等基本功能。整个钟表的工作应该是在1Hz信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时,小时的范围为0~23时。 在实验中为了显示的方便,由于分钟和秒钟显示的范围都是从0~59,所以可以用一个3位的二进制码显示十位,用一个四位的二进制码(BCD 码)显示个位,对于小时因为他的范围是从0~23,所以可以用一个2位的二进制码显示十位,用一个4位的二进制码(BCD码)显示个位。

实验中由于七段码管是扫描的方式显示,所以虽然时钟需要的是1Hz 时钟信号,但是扫描需要一个比较高频率的信号,因此为了得到准确的1Hz 信号,必须对输入的系统时钟50Mhz进行分频。 对于整点报时功能,本实验设计的是当进行正点的倒计时5秒时,让LED来闪烁进行整点报时的提示。 调整时间的按键用按键模块的S1和S2,S1调节小时,每按下一次,小时增加一个小时;S2调整分钟,每按下一次,分钟增加一分钟。另外用S8按键作为系统时钟复位,复位后全部显示00—00—00。 管脚分配如下表: 端口名使用模块信号对应FPGA管脚说明 S1 按键开关S1 R16 调整小时 S2 按键开关S2 P14 调整分钟 RST 按键开关S8 M15 复位 LED LED模块D1 L14 整点倒计时 LEDAG0 数码管模块A段N4 时间显示 LEDAG1 数码管模块B段G4 LEDAG2 数码管模块C段H4 LEDAG3 数码管模块D段L5 LEDAG4 数码管模块E段L4 LEDAG5 数码管模块F段K4 LEDAG6 数码管模块G段K5 SEL0 数码管选择位1 M4 8个数码管

相关文档
最新文档