数电课程设计(交通灯)

数电课程设计(交通灯)
数电课程设计(交通灯)

引言

交通的发达,标志着城市的发达,相对交通的管理则显得越来越重要。对于复杂的城市交通系统,为了确保安全,保证正常的交通秩序,十字路口的信号控制必需按照一定的规律变化,以便于车辆行人能顺利地通过十字路口。考虑到单片机具有物美价廉、功能强、使用方便灵活、可靠性高等特点,拟采用MCS - 51系列的单片机来实现十字路口交通信号灯的控制。正常情况下,十字路口的红绿灯应交替变换,考虑紧急情况下,如有救护车或警车到来时,应优先让其通过。在本文中,用发光二极管来模拟信号灯,救护车的优先通过请求信号由外部中断技术来模拟。要求使用 8051定时器/ 计数器0,假设南北的通车时间为30 s ,东西的通车时间为20 s,外部中断的延时为15s ,要求对通行时间进行倒计时,从P0 口输出,在LED上显示并进行递减。以此来实现十字路口交通灯的指示功能。

交通灯在安全行车过程中起着十分重要的作用, 现在交通灯一般设在十字路口, 在醒目位置用红、绿、黄三种颜色的指示灯, 加上一个倒计时的显示计时器来控制行车, 对于一般情况下的安全行车、车辆分流发挥着作用, 但根据实际行车过程中出现的情况, 主要有如下几个缺点: 1两车道让车轮流放行时间相同且固定, 在十字路口, 经常一个车道为主干道,车辆较多, 放行时间应该长些;另一车道为副干道, 车辆较少,放行时间短些。2 没有考虑紧急车通过时, 两车道应采取的措施, 譬如, 有消防车通过执行紧急任务时, 两车道的车都应停止, 让紧急车通过。根据行车过程中出现的实际情况, 如何全面有效地利用交通灯指示交通情况, 本人尝试用单片机来控制交通灯, 在软、硬件方面采取一些改进措施, 使交通灯在控制中灵活而有效。

传统的交通灯控制系统, 采用的基本上是3种控制方式。手按: 交警在岗亭值守,人为进行红绿灯改变; 黄闪: 夜间无人值守时, 用每秒1次的黄灯闪亮,提醒司机安全驾驶; 程控: 以60s 作为一个时间单位。某一方面(例南北方向) 红黄绿三灯的工作程序分别是: 红灯先亮30s, 然后绿灯亮28s, 再绿灯闪亮3s (每秒1次) , 最后黄灯亮2s。此时, 另一方向(东西方向) 红黄绿三灯的工作顺序相应为: 绿灯亮25s, 绿灯闪亮3s (每秒1次) , 黄灯亮2s, 最后红灯亮0s。此后以60s 作为时间单位进行上述的反复循环, 较为合理地解决了十字路口的交通调度问题。

红绿灯的工作顺序及时间都是固定的, 缺乏灵活性。

当前,交通事业蓬勃发展,交通流量年年增长,大、中、小城市的汽车、摩托车等各种车辆与日俱增,道路交通繁忙,经常有严重堵车现象,特别是在交叉口,机动车、非机动车、行人来往非常混乱,为了在叉口的各条干道实现合理的科学分流。

一、设计题目及内容

交通信号灯控制器

(1)、用红、绿、黄三色发光二极管作信号灯。

(2)、南北、东西方向绿、黄、红灯亮时间分别为20秒、4秒、24秒,一次循环为48秒。黄灯是间歇闪耀。设计计时显示电路(减“1”计数) 。

(3)、可以手动调整和自动控制,夜间为黄灯闪耀。

二、器件与器材

1、二输入四与非门 74LS00

2、四输入双与非门 74LS20

3、六倒相器 74LS04

4、八输入与非门 74LS30

5、正沿双D触发器 74LS74

6、同步十进制可逆加、减计数器 (8421 BCD码) 74LS168

7、振荡分频器 CD4060

8、BCD七段显示译码器 CD 4511

9、555定时器 555

10、LED共阴七段数码管 BS207

11、微动开关、拨盘开关、继电器、LED(红、绿、蓝)、电阻、电容、二极管、三极管、光敏二、

三极管、导线……等。

12、工具(镊子、剪刀、万用表、电烙铁……)

三、使用仪器设备

1、稳压电源(±5V,±15V);

四、参考文献

1、“模拟电子技术基础”和“数字电子技术基础”教材;

2、有关“电子技术课程设计指导书”;

3、“集成电路特性应用手册”;

2、EDA技术使用教程

目录

1 设计任务及要求 (9)

2 系统总体设计方案 (10)

2.1 总体设计方案 (10)

2.2 方案特点 (10)

3 控制电路设计 (10)

3.1 控制电路工作原理 (10)

3.2 参数计算 (10)

3.3 器件选型 (12)

4 振荡电路设计 (12)

4.1用555制作秒脉冲 (12)

5 计数电路设计 (13)

5.1 计数电路工作原理 (13)

5.2 参数计算 (13)

5.3 器件选型 (13)

6 译码显示电路设计 (14)

6.1 译码显示电路工作原理 (14)

6.2 参数计算 (14)

6.3 器件选型 (14)

7 系统总体电路设计 (16)

7.1 系统总体电路....................................... (17)

7.2 电路说明 (18)

8 电路调试 (19)

8.1 振荡电路调试及实验结果分析 (19)

8.2 计数电路调试及实验结果分析 (19)

8.3 译码显示电路调试及实验结果分析 (19)

8.4 控制电路调试及实验结果分析 (19)

8.5 系统联调及实验结果分析 (19)

9 改进意见及收获体会 (19)

10 器件明细清单 (20)

11参考文献 (20)

1设计任务及要求

1.设计并制作交通灯控制电路;

2.电路功能为:

(1)采用两位数码显示器显示南北方向时间;

(2)交通灯控制器设计要求如下:

1.用红、绿、黄三色发光二极管作信号灯。

2.南北、东西方向绿、黄、红灯亮时间分别为20秒、4秒、24秒,一次循环为48秒(图

1)。黄灯是间歇闪耀。设计计时显示电路(减“1”计数) 。

3.可以手动调整和自动控制,夜间为黄灯闪耀。

图1 设计草案

2系统总体设计方案

2.1总体设计方案

图2 总体设计方案

2.2方案特点

道路较窄而车辆通行较多,支线、干线的车辆通行时间不等,允许人工监控或修改各线通行时间,同时设有道路应急控制。十字路口要有数字显示,作为时间提示,以便人们更直观地把握时间,并且能够在人监控状态下,干道、支道通行时间通过键盘修改或通过开关人为控制。 本设计在很多方面,比如译码器的选择,定时器选型,程序调用方式等等尽量做到不与本组其他成员雷同,程序编制力求简便清晰,硬件连接图在保证每根具体用到的管脚线都能被表示出来的同时,力求线路连接清晰明确,尽量不使线与线之间过于缠绕。并且保证了电路工作的稳定性,同时加入了人工控制线路,可以更好的处理应急事件(如交通管制),晚上的黄灯闪烁对于夜间行驶的汽车起到提醒作用。

3控制电路设计 3.1控制电路工作原理

它由74LS164组成扭环形12进制计数器,然后经译码后,输出十字路口南北﹑东西二个方向的控制型号。其中黄灯信号须满足闪耀,并在夜间时,使黄灯闪亮,而绿﹑红灯灭。

3.2参数计算

由波形图3可知,计数器每次工作循环周期为12,所以可以选用12进制计数器。计数器可以用单触发器组成,也可以用中规模集成计数器。这里我们选用中规模74LS164八位移位寄存器

南北方向信号灯

东西方向信号灯 译码驱动电路

时钟信号

传感器 主 控 制 器 计时器

组成扭环形12进制计数器。扭环形计数器的状态如表2所示。

图3 控制电路波形图

t 计数器输出 南北方向

东西方向 Q 0 Q 1 Q 2 Q 3 Q 4 Q 5 NSG NSY NSR EWG E WY EWR 0 1 2 3 4 5 6 7 8 9 10 11

0 0 0 0 0 0 1 0 0 0 0 0 1 1 0 0 0 0 1 1 1 0 0 0 1 1 1 1 0 0 1 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 0 0 1 1 1 1 0 0 0 1 1 1 0 0 0 0 1 1 0 0 0 0 0 1

1 1 1 1 1 0 0 0 0 0 0 0

0 0 0 0 0 1 0 0 0 0 0 0

0 0 0 0 0 0 1 1 1 1 1 1

0 0 0 0 0 0 1 1 1 1 1 0

0 0 0 0 0 0 0 0 0 0 0 1

1 1 1 1 1 1 0 0 0 0 0 0

表2 扭环形计数器状态表

根据状态表,我们不难列出东西方向和南北方向绿﹑黄﹑红灯的逻辑表达式:

东西方向绿:EWG=Q4·Q5

黄:EWY=(Q4)'·Q5

红:EWR= (Q5)'

南北方向绿:NSG=(Q4)'·Q5

黄:NSY=Q4·(Q5)'

红:NSR=Q5

由于黄灯要求闪耀几次,所以用顶时标1s和EWY或NSY黄灯信号相“与”即可。

3.3器件选型

74LS164、74LS11、74LS08、74LS32、74LS04

74LS164

引出端符号(图4)

CLOCK 时钟输入端

CLEAR 同步清除输入端(低电平有效)

A,B 串行数据输入端

Qa-Qh 输出端

逻辑图:

图474LS164接脚图

4 用555制作秒脉冲

输出频率为1Hz,占空比为50%.

5 计数电路设计

5.1计数电路工作原理

当南北方向绿灯亮,而东西方向红灯亮时,使南北方向的74LS168以减法计数器方式工作,从数字“24”开始往下减,当减到“0”时,南北方向绿灯灭,红灯亮,而东西方向红灯灭,绿灯亮。由于东西方向红灯信号(EWR=0),使与门关断,减法计数器工作结束,而南北方向红灯亮,使另一方向——东西方向减法计数器开始工作。在减法计数器开始之前,由黄灯亮信号使减法计数器先置入数据,图中接入LD的信号就是由黄灯亮(为高电平)时,置入数据。黄灯灭(Y=0),而红灯亮(R=1)开始减计数。

5.2参数计算

由实验要求可以设置计数器初始值,由两个74LS168共同设置成24并随着秒脉冲依次下降。

5.3器件选型

74LS192、74LS08、74LS04

74LS192

74LS192是同步十进制可逆计数器,它具有双时钟输入,并具有清除和置数等功能,其引脚排列及逻辑符号如下图6所示:

图6 74LS192结构图

图中:PL'为置数端,CP U为加计数端,CP D为减计数端,(TC U)'为非同步进位输出端,(TC D)'为非同步借位输出端,P0、P1、P2、P3为计数器输入端,MR为清除端,Q0、Q1、Q2、Q3为数据输出端。

6 译码显示电路设计

6.1译码显示电路工作原理

显示控制部分实际上是一个定时控制电路。当绿灯亮时,使减法计数器开始工作(用对方的红灯信号控制),每来一个秒脉冲,使计数器减1,直到计数器为“0”而停止。译码显示可用74LS48 BCD码七段译码器,显示器用LC5011-11共阴极LED显示器,计数器材用可预置加、减法计数器,如74LS168、74LS193等。

6.2参数计算

根据实验要求,译码显示电路注意的就是接线,拐脚一定要对应正确否则会出现乱码等情况。

6.3器件选型:LC5011-11、74LS48

74LS48译码器(图7)

图7 74LS48 接脚图

74LS00引脚图

74ls08引脚图

74ls32引脚图

74ls04引脚图

74ls11引脚图

7 系统总体电路设计

注:74LS248用74LS48代替,74LS168用74LS192替代。

图8 统总体电路

7.2电路说明

交通灯控制系统功能图它主要由减法计数器、步骤控制器、置数逻辑电路和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。

其中控制部分由74LS164组成扭环形12进制计数器,然后经译码后,输出十字路口南北﹑东西二个方向的控制型号。其中黄灯信号须满足闪耀,并在夜间时,使黄灯闪亮,而绿﹑红灯灭。

当南北方向绿灯亮,而东西方向红灯亮时,使南北方向的74LS168以减法计数器方式工作,从数字“24”开始往下减,当减到“0”时,南北方向绿灯灭,红灯亮,而东西方向红灯灭,绿灯亮。由于东西方向红灯信号(EWR=0),使与门关断,减法计数器工作结束,而南北方向红灯亮,使另一方向——东西方向减法计数器开始工作。

显示控制部分实际上是一个定时控制电路。当绿灯亮时,使减法计数器开始工作(用对方的红灯信号控制),每来一个秒脉冲,使计数器减1,直到计数器为“0”而停止。译码显示可用74LS48 BCD码七段译码器,显示器用LC5011-11共阴极LED显示器,计数器材用可预置加、减法计数器,如74LS168、74LS193等。

当开关K3处于夜间模式时,南北与东西方向的黄灯会闪烁,这样对于夜间行驶的车辆起一个警示提醒作用。对于手动控制部分,对于临时调整红绿灯情况有了很好的解决。电路里面的秒脉冲可以使用振荡电路获得,此次实验直接使用了实验箱提供的1Hz的方波信号。对于电路中替换的电路元器件使用方法和原器件基本上不变,完全可以参照电路图实现。

8电路调试

8.1振荡电路调试及实验结果分析

实验所用秒脉冲直接使用了实验箱上1Hz信号(产生方法如上面讲的产生方法),通过分频器实现信号周期的变化,用以提供电路信号。

8.2 计数电路调试及实验结果分析

观察数码管的显示情况,如果24循环过后回复的话,说明计数正常。如果出现问题:

1.显示最大数不对,是由于74LS192置数端不对,初始值错误

2.如果显示乱码原因可能是由于与译码器接口不对。

3.如果计数器不工作(不倒计时)可能原因可能是电路连接错误,注意查线,保证线路的正

确性。

8.3 译码显示电路调试及实验结果分析

观察数码管的显示情况:出现乱码或无数值,检查接口74LS48和74LS192以及数码管对应是否正确。如果数码管无任何显示,可能原因是共阴极没有接地。

8.4 控制电路调试及实验结果分析

用观察电路左半部分电路的红绿黄灯的时间,符合要求说明控制电路正常工作。并且要保证夜间模式时,黄灯处于规律闪烁状态。如果出现灯闪烁频率不对,检查秒脉冲是否正常。如果灯不闪或不亮,需检查电路,看是否电路连接正确。

8.5 系统联调及实验结果分析

统一左半部分红绿灯的闪烁时间与颜色和右半部分的数码管的倒计时显示,对应正确说明电路正常,如果不对应或某一部分过快,检查各集成块初始值设置和秒脉冲分频是否正确。如果红绿灯时间不正确,看分频器连接是否正确。

9改进意见及收获体会

回顾起此次课程设计,从理论到实践,不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正提高自己的实际动手能力和独立思考的能力。在设计的过程中还遇到问题,发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固,但是让我得到多方面的提高,提高了我们的逻辑思维能力,使我们在逻辑电路的分析与设计上有了很大的进步,也培养了我们独立思考和设计思维的能力,更让我体会到成功的喜悦和快乐,所以我要在今后的学习中更加努力,学好自己的专业知识以充实自己,来适应日新月异的现代社会。

缺陷:红黄绿灯亮的时间不是很准确

改进:使用三进制计数器,每三秒给74LS164一个上升沿信号

10 器件明细清单

1.集成电路:74LS74、74LS164、74LS192、74LS248、BS207、CD4060

2.门电路 74LS11、74LS08、74LS32、74LS04

3.显示:LC5011-11,发光二极管(三色)

4.电阻 10k 555

5.双掷开关

参考文献

[1] 阎石主编.数字电子技术基础(第四版).北京:高教出版社, 2000

[2] 董儒胥,《电工电子实训》,北京:高等教育出版社, 2002年

[3] 阎石,《数字电子技术基础》北京:高等教育出版社, 2006年

[4] 陈明义主编《电子技术课程设计指导》中南大学出版社,2002年

数字电子技术课程设计之交通灯控制系统

数字电子技术课程设计之交通灯控制系统 专业班级:物联网112 指导教师:陈际 组成员:王海超、殷修修、张天一

一、内容摘要 二、设计内容与要求 三、方案分析 四、原理图设计 4、1信号灯控制器电路 4、2信号灯译码器电路 4、3计时器系统 4、4显示译码器 4、5 LED七段数码管 4、6 555振荡器组成的秒脉冲电路 五、整体电路图以及工作原理 六、参考文献 一、内容摘要 电路通过两个D触发器组成的四进制级数器和由与非门组成的译码器来控制主干道和支干道红、绿、黄灯的状态变化,从而达到疏

通车辆安全顺利通过十字路口,有555计时和电容电阻组成的秒脉冲发生器,计时器由两个74LS190计数器构成,分别用于计时的十位和个位,显示译码器把74LS190输出的BCD码译成七位二进制代码通过七段数码管显示出相应的十进制数。 二、设计内容与要求 为了确保在十字路口车辆安全顺利的通过,在交叉路口设置红、绿、黄三种信号灯,红灯亮时禁止通行,绿灯亮时允许通行,黄灯亮时给行驶中的车辆有时间停靠在禁行线外。 任务和要求: 1、在主干道和支干道之间交替放行,主干道每次放行50秒,支干道每次放行40秒。 2、每次绿灯亮变红时,黄灯先亮4秒,而原红灯不变。 3、用十进制数显示放行与等待时间。 三、方案分析 方案一、用数电电子技术来实现交通灯的控制 1、交通灯控制系统原理框图如图1-1所示 主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成,秒脉冲发生器是系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路驱动信号灯工作,控制器是系统的主要成分,由它控制定时器和译码器工作。

交通灯设计数电课程设计报告

课程设计论文 题目:交通灯定时控制系统的设计、制作 学院:_ ___ 专业:____ 学号:_____ 姓名:________ 指导教师:_________ 完成日期: 设计任务书 在城镇街道的十字交叉路口,为了保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。 设计一个十字路口的交通灯定时控制系统,基本要求如下: (1)甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒。 (2)每次绿灯变红灯时,黄灯先亮5秒钟,才能变换运行车道。 (3)黄灯亮时,要求每秒钟闪亮一次。 选做扩展功能: (4)十字路口有数字显示灯亮时间,要求灯亮时间以秒为单位作减计数; (5)要求通行时间和黄灯亮的时间均可在0~99s内任意设定。

目录 一、交通灯设计原理 (4) 二、单元电路的设计 (8) 1、秒脉冲发生器 (8) 2、定时器 (9) 3、控制器 (11) 4、译码器 (14) 5、显示部分 (16) 6.整个交通灯控制系统的布局 (17) 三、仿真过程与效果分析 (17) 四、元器件清单...................................................................... . (19) 五、体会总结...................................................................... .. (20) 六、参考文献...................................................................... .. (21) 七、附录 一.交通灯设计原理

数字电路课程设计交通灯

数字逻辑电路设计 课程设计报告 系(部):三系 专业:通信工程 班级: 2011级<1>班 姓名:陈 学号: 201103061 成绩: 指导老师:李海霞 开课时间: 2012-2013 学年二学期

一、设计题目 交通信号灯控制器 二、主要内容 1、分析设计题目的具体要求 2、完成课题所要求的各个子功能的实现 3、用multisim软件完成题目的整体设计 三、具体要求 (一)、交通灯信号控制器仿真设计 设计要求 (1)设计一个十字路口的交通灯控制电路,要求东西方向和南北方向车道两条交叉道路上的车辆交替运行,每次通行时间都设为35s。时间可 设置修改。 (2)在绿灯转为红灯时,要求黄灯先亮5s,才能变换运行车道。 (3)黄灯亮时,要求每秒闪亮一次。 (4)东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示。 (5)假定+5V电源给定。 四、进度安排 第一天:介绍所用仿真软件;布置任务,明确课程设计的完整功能和要求。第二天:消化课题,掌握设计要求,明确设计系统的全部功能,图书馆查阅资料。 第三天:确定总体设计方案,画出系统的原理框图。 第四天:绘制单元电路并对单元电路进行仿真。 第五天:分析电路,对原设计电路不断修改,获得最佳设计方案。 第六天:完成整体设计并仿真验证。 第七天:对课程设计进行现场运行检查并提问,给出实践操作成绩。 第八天:完成实践报告的撰写

五、成绩评定 课程设计成绩按优、良、中、及格、不及格评定,最终考核成绩由四部分组成: 1、理论设计方案,演示所设计成果,总成绩40%; 2、设计报告,占总成绩30%; 3、回答教师所提出的问题,占总成绩20%; 4、考勤情况,占总成绩10%; 无故旷课一次,平时成绩减半;无故旷课两次平时成绩为0分,无故旷课三次总成绩为0分。迟到20分钟按旷课处理。

数电课程设计交通灯

数字电路课程设计报告书 系部名称:电子工程学院 学生姓名: 专业名称:微电子 班级: 实习时间: 题目:交通灯控制器

一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用,锻炼自己的动手能力和实际解决问题的能力。 2.深入了解交通灯的工作原理。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。 2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 三使用元件 器件型号数量器件型号数量 161 2 LED 6 08 2 电阻4.7K 1 04 3 电阻150K 1 00 1 电阻100欧姆 1 48 2 电容4.7uF 1 74 1 电容103 1 555 1 数码管 2 面包板 1 斜口钳 1 四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒

脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。 2.分析系统的状态变化,列出状态转换表: (1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行, 支干道禁止通行。 (2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。 主控部分 秒脉冲发生 器 交通灯 倒计时控制部分 数码管显示 反馈 控制

交通灯控制电路数电课程设计数字电路课程设计

——交通灯控制电路 目录 第一章:序言 (2) 第二章:设计任务书 (2) 第三章:电路组成和工作原理 (4)

第四章:设计步骤及方法 (7) 第五章:总结 (10) 第七章:参考文献 (10) 第一章序言 随着社会的飞速发展,城市交通问题日益凸显严重,尤其在城市街道的十字叉路口,频繁发生交通问题,为了保证交通秩序和行人安全,一般在每条街上都有一组红、黄、绿交通信号灯。其中红灯亮,表示道路禁止通行;黄灯亮表示该道路上未过停车线的车辆禁止通行,已经过停车线的的车辆继续通行;绿灯亮表示道路允许通行。交通灯控制电路自动控制十字路口的红、黄、绿交通灯。交通灯通过的状态转换,指挥车辆行人通行,保证车辆行人的安全,实现十字路口交通管理自动化。

第二章设计任务书 一、设计题目: 二、技术内容及要求: 三、给定条件及器件 四、设计内容 1.电路各部分的组成和工作原理。 2.元器件的选取及其电路逻辑图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问题,其原因及解决

的办法。 第三章电路组成和工作原理设系统工作的十字路口由主、支两条干道构成,4路口均设红、黄、绿三色信号灯和用于计时的2位由数码管显示的十进制计数器,其示意图如图5—1所示。 图1 十字路口交通信号灯控制示意图 根据交通规则,交通信号灯自动定时控制器所需实现的功能如下: (1)主、支干道交替通行,通行时间均可在0 ~ 99 s内任意设定。 (2)每次绿灯换红灯前,黄灯先亮较短时间(也可在0 ~ 99 s内任意设定),用以等待十字路口内滞留车辆通过。 (3)主支干道通行时间和黄灯亮的时间均可由同一计数器按减计数方式计数(零状态瞬间进行状态的转换,视为无效态)。 (4)在减计数器回零瞬间完成十字路口通行状态的转换(换灯)。

数电课设交通灯

太原理工大学

课程设计任务书 注:1.课程设计完成后,学生提交的归档文件应按照:封面—任务书—说明书—图纸的顺序进行装订上交(大张图纸不必装订) 2.可根据实际内容需要续表,但应保持原格式不变。 指导教师签名:日期:

专业班级 学号 姓名 成绩 一、 简述 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R )亮表示该条道路禁止通行;黄灯(Y )亮表示停车;绿灯(G )亮表示允许通行。 交通灯控制器的系统框图如图3.1所示。 图3.1 交通灯控制器系统框图 系统由秒脉冲信号发生器、定时器、控制器、译码显示器、信号灯显示器五大部分组成。其中秒脉冲信号发生器用于给各个组成部分提供脉冲信号,通过定时器向控制器发出三种定时信号,使相应的发光二极管发光。译码显示器在控制器的控制下,改变交通灯信号,分别产生三种倒计时时间显示,控制器根据定时器的信号,进行状态间的转换,使显示器的显示发生相应转变。 二、设计目的 通过本次课题设计,应该掌握以下内容 (1)学习数字逻辑电路设计的一般方法。 (2)要求学会用理论知识解决实际问题。 (3)灵活掌握部分74LS 系列集成电路的使用。 (4)掌握Multisim 仿真软件的应用。 (5)掌握常用元器件的识别与测量。 (6)了解实际电路调试和解决问题的基本方法。 三、总体方案设计 用定时器分别产生三个时间间隔后,向控制器发出“时间已到”的信号,控制器 ……………………………………装………………………………………订…………………………………………

根据定时器的信号,决定是否进行状态转换。如果肯定,则控制器发出状态转换信号ST,定时器开始清零,准备重新计时。 交通灯控制器的控制过程分为四个阶段,对应的输出有四种状态,分别用S0、S1、S2、S3表示。 S0状态:主干道绿灯亮,支干道红灯亮,此时主干道允许车辆通行,主干道禁止车辆通行。当主干道绿灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态。 S1状态:主干道黄灯亮,主干道红灯亮,此时主干道允许超过停车线的车辆继续通行,而未超过停车线的车辆禁止通行,支干道禁止车辆通行。当主干道黄灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。 S2状态:主干道红灯亮,支干道绿灯亮。此时主干道禁止车辆通行,支干道允许车辆通行,当支干道绿灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。 S3状态:支干道红灯亮,支干道黄灯亮。此时主干道禁止车辆通行,支干道允许超过停车线的车辆通行,而未超过停车线的车辆禁止通行。当支干道红灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态------S0状态。 交 灯 状 态 转

交通灯控制电路_数电课程设计_数字电路课程设计

——交通灯控制电路 目录

第一章:序…………………………………………(1-2) 第二章:设计任务书………………………………(2-4) 第三章:电路组成和工作原理........................(4-12)第四章:设计步骤及方法..............................(13-18)第五章:仿真过程故障排除...........................(18-22)第六章:总结.............................................(22-23)第七章:参考文献 (23) 第八章:致谢 (23)

毕业论文项目表

哈尔滨应用职业技术学院学生顶岗实习鉴定表

第一章序 数字电子技术基础是高等学校弱电类专业的一门重要技术基础课程。这门课程发展迅速、实用性和应用性强,侧重于逻辑行为的认知和验证。 随着社会经济的发展城市交通问题越来越引起人们的注意。人、车、路三者的关系协调,已成为交通管理部门需要解决的重要问题之一。城市交通控制系统是用于城市交通数据监测、交通信号灯控制与交通疏导计算机综合管理系统,他是现代城市的交通监控系统指挥中最重要的组成部分。 同时也随着城市机动车辆的不断增加,许多大城市如北京,上海,南京等出现交通超负荷运行的情况,因此,自八十年代后,这些城市纷纷修建高速道路,在告诉道路完成的初期,它们也曾有效地改善交通情况。然而,随着交通量的快速增长和缺乏对告诉道路的系统研究和控制,告诉道路没有充分发挥初期的作用。而城市的高速道路在构造上的特写,也决定了城市告诉道路的交通状况必然受告诉道路与普通道路耦合出交通状况的制约。所以,如何才用何时得控制方法,最大限度利用好耗费巨资修建的城市高速道路,缓解主干道和匝道,城区同周边地区的交通拥堵状况,越来越成为交通运输管理和城市规划部门亟待解决的主要问题。为此,本次设计完成的就是交通灯设计。一下就是城乡交通灯控制系统的电路原理,设计计算和实验调试等问题来进行具体讨论。 第二章设计任务书 一、设计题目:交通灯控制电路 二、技术要求:

数电交通灯课程设计报告

电子技术课程 设计报告 二 级 学 院 机械与电子工程学院 专 业 电气工程及其自动化 年 级 2014级 学 号 14106***** 学 生 姓 名 苏 运 指 导 教 师 杨** 完 成 时 间 2015 年12 月25 日 简易交通灯的设计

简易交通灯的设计 作者:苏运 指导老师:杨** 摘要:利用数码管,74LS48译码器、74LS192计数器、ne555、与非门、或非门、触发器、蜂鸣器和小彩灯等电子元件,制作简易交通灯,实现15秒倒计时开始后,到达5秒时红灯熄灭,黄灯闪烁同时蜂鸣器响,到达0秒时切换到另外两个方向的红绿灯亮的功能。经测试,系统达到红绿灯转换和显示的基本要求,具有电路美观稳定性高的优点。 关键词:数电交通灯;交通灯;简易交通灯;计数器

目录 1 设计要求及方案选择 (1) 1.1设计要求 (1) 1.2方案选择 (1) 2 理论分析与设计 (1) 2.1脉冲发生器电路的分析及设计 (1) 2.2定时器电路的分析及设计 (2) 2.3交通灯和蜂鸣器电路的分析及设计 (3) 2.4控制器电路的分析及设计 (4) 2.5数码显示电路的分析及设计 (4) 3电路设计 (5) 3.1脉冲发生器电路的设计 (5) 3.2定时器电路的设计 (6) 3.3交通灯和蜂鸣器电路的设计 (6) 3.4控制电路的设计 (7) 3.5码显示电路的设计 (9) 3.6电源开关和指示灯电路的设计 (9) 4系统测试实验 (10) 4.1调试所用的基本仪器清单 (10) 4.2软件仿真调试 (10) 4.3实物电路板的调试 (10) 4.4时钟脉冲信号的测定 (10) 4.5调试结果 (11) 4.6测试结果分析 (11) 5 总结 (12) 附录 (13) 附录A 仿真图 (13) 附录B 原理图 (13) 附录C PCB图 (14) 附录D 实物图 (14) 附录E 脉冲信号测试图 (15) 附录F 元件清单 (15) 参考文献 (16)

交通灯控制电路设计数电课程设计+数字电路课程设计

数字电子技术课程设计 简易交通灯控制逻辑电路设计 专业班级:09自动化一班 时间:2011.12.12-2011.12.19 姓名: 指导教师: :郭计云

大同大学电气工程系

目录 一、课程题目 (2) 二、设计要求 (2) 三、系统框图及说明 (2) 四、单元电路设计 (4) 五、仿真过程与效果分析 (12) 六、体会总结 (13) 七、参考文献 (13)

《一》课程设计题目: 交通灯控制电路设计 《二》设计要求: 1、设计一个十字路口的交通灯控制电路,要求南北方向(主干道)车道和东西方向(支干道)车道两条交叉道路上的车辆交替运行,主干道每次通行时间都设为30秒、支干道每次通行时间为20秒,时间可设置修改。 2、在绿灯转为红灯时,要求黄灯先亮5秒钟,才能变换运行车道; 3、黄灯亮时,要求每秒闪亮一次。 4、东西方向、南北方向车道除了有红、黄、绿灯指示外,每一种灯亮的时间都用显示器进行显示(采用计时的方法)。 5、同步设置人行横道红、绿灯指示。 《三》系统框图及说明: 1、分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图1-1 所示。它主要由计时电路、主控电路、信号 灯转换器和脉冲信号发生器组成。脉冲信号发生器用的是555 定时器;计时计数器是由74LS160 来完成、输出四组驱动信号T0 和T3 经信号灯转换器(4 片7448)来控制信号灯工作,主控电路是系统的主要部分,由它控制信号灯转换器的工作。

(图1-1)2、信号灯转换器

状态与车道运行状态如下:S0:支干道车道的绿灯亮,车道通行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S1:支干道车道的黄灯亮,车道缓行,人行道禁止通行;主干道车道的红灯亮,车道禁止通行,人行道通行 S2:支干道车道的红灯亮,车道禁止通行,人行道通行;主干道车道的绿灯亮,车道

数电课设交通灯

目录 1.设计任务--------------------------------------------------------- - 1 - 2.总体设计方案------------------------------------------------------ - 1 - 2.1总述:----------------------------------------------------------- - 1 - 2.2设计思路:------------------------------------------------------- - 2 - 2.3设计总框图如下:------------------------------------------------- - 3 - 3.模块电路设计------------------------------------------------------ - 4 - 3.1秒脉冲发生模块--------------------------------------------------- - 4 - 3.2数码管显示模块--------------------------------------------------- - 5 - 3.3 led发光电路----------------------------------------------------- - 6 - 3.4主控电路--------------------------------------------------------- - 7 - 3.5交通信号灯电路-------------------------------------------------- - 10 - 4.原理总图-------------------------------------------------------- - 10 - 5.元器件清单------------------------------------------------------ - 10 - 6.调试------------------------------------------------------------ - 11 - 7.心得体会--------------------------------------------------------- - 11 - 8.参考文献------------------------------------------------------- - 12 -

数电课设交通灯

理工大学 课程设计任务书 注:1.课程设计完成后,学生提交的归档文件应按照:封面—任务书—说明书—图纸的顺序进行装订上交(大图纸不必装订) 2.可根据实际容需要续表,但应保持原格式不变。 指导教师签名:日期: .

.

. 专业班级 学号 成绩 一、 简述 为了确保十字路口的车辆顺利、畅通地通过,往往都采用自动控制的交通信号灯来进行指挥。其中红灯(R )亮表示该条道路禁止通行;黄灯(Y )亮表示停车;绿灯(G )亮表示允许通行。 交通灯控制器的系统框图如图3.1所示。 图3.1 交通灯控制器系统框图 系统由秒脉冲信号发生器、定时器、控制器、译码显示器、信号灯显示器五大部分组成。其中秒脉冲信号发生器用于给各个组成部分提供脉冲信号,通过定时器向控制器发出三种定时信号,使相应的发光二极管发光。译码显示器在控制器的控制下,改变交通灯信号,分别产生三种倒计时时间显示,控制器根据定时器的信号,进行状态间的转换,使显示器的显示发生相应转变。 二、设计目的 通过本次课题设计,应该掌握以下容 (1)学习数字逻辑电路设计的一般方法。 (2)要求学会用理论知识解决实际问题。 ……………………………………装………………………………………订…………………………………………线………………………………………

(3)灵活掌握部分74LS系列集成电路的使用。 (4)掌握Multisim仿真软件的应用。 (5)掌握常用元器件的识别与测量。 (6)了解实际电路调试和解决问题的基本方法。 三、总体方案设计 用定时器分别产生三个时间间隔后,向控制器发出“时间已到”的信号,控制器根据定时器的信号,决定是否进行状态转换。如果肯定,则控制器发出状态转换信号ST,定时器开始清零,准备重新计时。交通灯控制器的控制过程分为四个阶段,对应的输出有四种状态,分别用S0、S1、S2、S3表示。 S0状态:主干道绿灯亮,支干道红灯亮,此时主干道允许车辆通行,主干道禁止车辆通行。当主干道绿灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态。 S1状态:主干道黄灯亮,主干道红灯亮,此时主干道允许超过停车线的车辆继续通行,而未超过停车线的车辆禁止通行,支干道禁止车辆通行。当主干道黄灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。 S2状态:主干道红灯亮,支干道绿灯亮。此时主干道禁止车辆通行,支干道允许车辆通行,当支干道绿灯亮够规定时间后,控制器发出状态转换信号,系统进入下一个状态。 S3状态:支干道红灯亮,支干道黄灯亮。此时主干道禁止车辆通行,支干道允许超过停车线的车辆通行,而未超过停车线的车辆禁止通行。当支干道红灯亮够规定的时间后,控制器发出状态转换信号,系统进入下一个状态------S0状态。 .

数电课程设计——交通灯

数电课程设计 课程名称:交通灯控制器姓名:车宣豪 学号:1240308209 专业:测控技术与仪器

交通灯控制器设计 一、设计任务和要求 1.设计一个交通灯控制器,由两条主干道汇合成十字路口,在每个入口处设置两相位信号灯;分别为直行—红、黄、绿等;左转—红、黄、绿灯,六盏信号灯。 2.每个路口信号灯亮灭次序和时间为直行—绿灯30秒,黄灯5秒,红灯85秒;左转—绿灯20秒,黄灯5秒,红灯95秒。 3.各路口有两个倒计时显示器,分别显示直行和左拐倒计时状态。 4.黄灯亮时,为闪烁点亮方式。 二、方案论证 1.各变量含义 clk为单位脉冲信号,reset为清零信号,HSR、HSG、Y1分别为东西直行红黄绿灯,HLR、HLG、Y2分别为东西左拐红黄绿灯,SSR、SSG、Y3分别为南北直行红黄绿灯,SLR、SLG、Y4分别为南北左拐红黄绿灯;HS0,HL0,SS0,SL0分别为东西直行、东西左拐、南北直行、南北左拐倒计时。 2.信号灯状态表及每个状态倒计时 状态s0 s1 s2 s3 s4 s5 s6 s7 东西直行绿30-1 黄5-1 红85-66 红65-61 红60-31 红30-26 红25-6 红5-1 东西左拐红35-6 红5-1 绿20-1 黄5-1 红60-31 红30-26 红25-6 红5-1 南北直行红60-31 红30-26 红25-6 红5-1 绿30-1 黄5-1 红25-6 红5-1 南北左拐红95-66 红65-61 红60-41 红40-36 红35-6 红5-1 绿20-1 黄5-1

3.显示器倒计时的实现 通过设计一个逐渐递增的数x(从1不断加1,一直加到120),然后用一个数减去x就得到一个递减的数来作为计时器上显示的数。例如:第一个状态为东西直行绿灯亮30秒,那么就用31—x(此时x从1一直加1到30)来表示绿灯的剩余倒计时时间;而到第二个状态则为东西左拐黄灯亮5秒,那么就用36—x(由于x是不断加1的数,那么此时x变为从31不断加1到35)来实现黄灯亮5秒的倒计时显示。其他状态及其他方向倒计时与这两个例子一样,都是通过一个数减去x来实现的倒计时。具体每个状态倒计时如下:case(z) 8'd1:begin HS<=31-x;HL<=36-x;SS<=61-x;SL<=96-x;end 8'd2:begin HS<=36-x;HL<=36-x;SS<=61-x;SL<=96-x;end 8'd3:begin HS<=121-x;HL<=56-x;SS<=61-x;SL<=96-x;end 8'd4:begin HS<=121-x;HL<=61-x;SS<=61-x;SL<=96-x;end 8'd5:begin HS<=121-x;HL<=121-x;SS<=91-x;SL<=96-x;end 8'd6:begin HS<=121-x;HL<=121-x;SS<=96-x;SL<=96-x;end 8'd7:begin HS<=121-x;HL<=121-x;SS<=121-x;SL<=116-x;end 8'd8:begin HS<=121-x;HL<=121-x;SS<=121-x;SL<=121-x;end z的取值分别表示8个状态,HS表示东西直行倒计时显示,HL表示东西左拐倒计时显示,SS表示南北直行倒计时显示,SL表示南北左拐倒计时显示。 4.黄灯闪烁的实现 通过把黄灯的输出信号与上clk信号来实现黄灯的闪烁,例如Y1=clk&HSY,HSY为东西直行黄灯信号,当它与上clk时则可实现黄灯的闪烁信号Y1。 5.状态转换。 S0 S1 S2 S3 S7 S6 S5 S4 状态机分为8个状态,分别为S0、S1、S2、S3、S4、S5、S6、S7,每个状态灯亮灭如下:s0: {HSR,HSG,HSY}=3'b010;{HLR,HLG,HL Y}=3'b100; {SSR,SSG,SSY}=3'b100;{SLR,SLG,SL Y}=3'b100; s1: {HSR,HSG,HSY}=3'b001;{HLR,HLG,HL Y}=3'b100; {SSR,SSG,SSY}=3'b100;{SLR,SLG,SL Y}=3'b100; s2: {HSR,HSG,HSY}=3'b100;{HLR,HLG,HL Y}=3'b010; {SSR,SSG,SSY}=3'b100;{SLR,SLG,SL Y}=3'b100;

交通灯的设计-数电课设报告

湖北汽车工业学院 电气与信息工程学院电工电子实验示范中心课程设计报告 课程名称数字电子技术基础课程设计 设计题目交通灯的设计 班号专业 学生姓名学号 指导教师(签字) 起止日期 2011年6月4日-2011年6月18日

目录 第一章设计任务 (3) 1.1 课题内容摘要与设计背景 (3) 1.2 课题名称 (3) 1.3 课程目的 (3) 1.4 设计基本要求及内容 (3) 1.4.1 基本要求 (4) 1.4.2 提高要求 (4) 第二章系统总体设计方案 (5) 2.1 系统的逻辑功能组成框图 (5) 2.2 系统局部分析设计 (6) 2.1.1 主控制器设计 (6) 2.2.2减计数器的设计 (6) 2.2.3 译码驱动电路设计时钟信号发生器电路设计 (9) 2.2.4 时钟信号发生器电路设计 (10) 第三章电路的仿真、连接与调试 (11) 3.1 仿真原理图 (11) 3.2电路的连接 (12) 3.3电路的调试 (12) 改进意见与收获体会……………………………………………………………………页码参考文献…………………………………………………………………………………页码附录………………………………………………………………………………………页

第一章设计任务 1.1课题内容摘要与设计背景 在城镇街道的十字交叉路口中,为保证交通秩序和行人安全,一般在每条道路上各有一组红、黄、绿交通信号灯,其中红灯亮,表示该条道路禁止车辆通行;黄灯亮表示该条道路上未过停车线的车辆停止通行,已过停车线的车辆继续通行;绿灯亮表示该条道路允许通行。交通灯控制电路自动控制十字路口两组红、黄、绿交通灯的状态转换,指挥各种车辆和行人通行实现十字路口交通管理的自动化。 1.2课题名称 交通灯设计 1.3 课程目的: 1)了解交通规则,掌握交通灯控制原理 2)学会应用EWB进行数字电路仿真 3)通过对交通灯的设计加深对所学数字电子技术知识的理解; 4)通过独立地完整地完成一个一定功能的数字电路,提高动手能力、设计能力,数字电路 的分析调试能力 1.4设计基本要求及内容:

数字电子电路课程设计_数字交通灯的设计

数字电子电路课程设计 数字交通灯 摘要 此电路由定时器NE555构成的多谐振荡器产生秒脉冲,两块74LS192芯片级联成61进制倒计时器,计时器输出的数据通过两块74LS48译码器最终由两块七段数码管显示出来。计时部分由倒计时器与逻辑门构成定时器,在每隔55秒或5秒输出一个脉冲,触发状态控制器工作。状态控制器控制着信号灯的转换。

目录 1 引言 (2) 2 总体方案论证与设计 (3) 3 具体逻辑电路设计 (5) 3.1 秒脉冲 (5) 3.2倒计时器 (6) 3.3时间显示器 (7) 3.4定时器、状态控制器 (8) 3.5信号灯显示 (10) 3.6总电路设计 (12) 4 结论 (13) 5 参考文献 (13)

1 引言 交通灯是我们最常见的系统,随着科技的日益创新,交通灯的设计也多式多样,不同的地点不同的路况需要不同的交通灯,但其设计原理都是小异的。我们这次的设计是用硬件实现交通灯的全部功能。 这里用简单的电路来介绍交通灯的工作原理。介绍了数字电子技术中非常重要的几个芯片:定时器NE555、4位十进制可逆同步计数器(双时钟)74LS192、七段显示译码器74LS48、带小数点(DP)的七段数码管、数据分配器74LS138。 随着社会的发展,电子技术也日新月异,因而掌握这门技术至关重要。

2 总体方案论证与设计 1、方案 方案1: 由定时器NE555构成的多谐振荡器产生秒脉冲,两块74LS192芯片级联成61进制倒计时器,计时器输出的数据通过两块74LS48译码器和两块七段数码管显示出来。由倒计时器与逻辑门构成定时器,在每隔55秒或5秒输出一个脉冲,触发状态控制器工作。状态控制器控制着信号灯的转换。 方案2: 方案2与方案1很大一部分都相同,就定时器那一块有差异。定时器由两块74LS162(4位十进制同步计数器)进行计数而不用倒计时器计数。 综合考虑,方案1比方案2所用的器件要少,比较经济。而且方案1容易连接。易于生成。所以选择方案1比较好。 2、信号转换 状态1(00):东西方向车道的绿灯亮,车道,人行道通行;南北方向车道的红灯亮,车道,人行道禁止通行。 状态2(01):东西方向车道的黄灯亮,车道,人行道缓行;南北方向车道的红灯亮,车道,人行道禁止通行;

数电课程设计报告交通灯讲解

前言 现如今,随着人口和汽车的日益增长,城市交通日益拥挤,人们的安全问题也日益重要。因此,红绿交通信号灯成为交管部门管理交通的重要工具之一。交通信号灯常用于十字路口,用来控制车的流量,提高交叉口车辆的通行能力,减少交通事故。有了交通灯人们的安全出行有了很大的保障。 自从交通灯诞生以来,其内部的电路控制系统就不断的被改进,设计方法也开始多种多样,从而使交通灯显得更加智能化、科学化、简便化。尤其是近几年来,随着电子与计算机技术的飞速发展,电子电路分析和设计方法有了很大的改进,电子设计自动化也已经成为现代电子系统中不可缺少的工具和手段,这些为交通灯控制电路的设计提供了一定的技术基础。 本设计通过采用数字电路对交通灯控制电路的设计,提出使交通灯控制电路用数字信号自动控制十字路口两组红、黄、绿交通灯的状态转换的方法,指挥各种车辆和行人安全通行,实现十字路口交通管理的自动化。因此,在本次课程设计里,将以传统的设计方法为基础来实现设计交通控制信号灯。本实验设计目的是培养数字电路的能力,掌握交通信号灯控制电路的设计方法。 设计任务及要求 设计一个十字路口的交通灯定时控制系统,基本要求如下: (1)甲车道和乙车道两条交叉道路上的车辆交替运行,每次通行时间都设为25秒。 (2)每次绿灯变红灯时,黄灯先亮5秒钟,才能变换运行车道。 (3)黄灯亮时,要求每秒钟闪亮一次。 (4)十字路口有数字显示灯亮时间,要求灯亮时间以秒为单位作减计数;(5)要求通行时间和黄灯亮的时间均可在0~99s内任意设定。 本设计由王宇同学完成。 由于所学知识有限,设计中难免出现错误,请老师批评指正。 - 1 - 目录

数电课程设计(交通灯)

引言 交通的发达,标志着城市的发达,相对交通的管理则显得越来越重要。对于复杂的城市交通系统,为了确保安全,保证正常的交通秩序,十字路口的信号控制必需按照一定的规律变化,以便于车辆行人能顺利地通过十字路口。考虑到单片机具有物美价廉、功能强、使用方便灵活、可靠性高等特点,拟采用MCS - 51系列的单片机来实现十字路口交通信号灯的控制。正常情况下,十字路口的红绿灯应交替变换,考虑紧急情况下,如有救护车或警车到来时,应优先让其通过。在本文中,用发光二极管来模拟信号灯,救护车的优先通过请求信号由外部中断技术来模拟。要求使用 8051定时器/ 计数器0,假设南北的通车时间为30 s ,东西的通车时间为20 s,外部中断的延时为15s ,要求对通行时间进行倒计时,从P0 口输出,在LED上显示并进行递减。以此来实现十字路口交通灯的指示功能。 交通灯在安全行车过程中起着十分重要的作用, 现在交通灯一般设在十字路口, 在醒目位置用红、绿、黄三种颜色的指示灯, 加上一个倒计时的显示计时器来控制行车, 对于一般情况下的安全行车、车辆分流发挥着作用, 但根据实际行车过程中出现的情况, 主要有如下几个缺点: 1两车道让车轮流放行时间相同且固定, 在十字路口, 经常一个车道为主干道,车辆较多, 放行时间应该长些;另一车道为副干道, 车辆较少,放行时间短些。2 没有考虑紧急车通过时, 两车道应采取的措施, 譬如, 有消防车通过执行紧急任务时, 两车道的车都应停止, 让紧急车通过。根据行车过程中出现的实际情况, 如何全面有效地利用交通灯指示交通情况, 本人尝试用单片机来控制交通灯, 在软、硬件方面采取一些改进措施, 使交通灯在控制中灵活而有效。 传统的交通灯控制系统, 采用的基本上是3种控制方式。手按: 交警在岗亭值守,人为进行红绿灯改变; 黄闪: 夜间无人值守时, 用每秒1次的黄灯闪亮,提醒司机安全驾驶; 程控: 以60s 作为一个时间单位。某一方面(例南北方向) 红黄绿三灯的工作程序分别是: 红灯先亮30s, 然后绿灯亮28s, 再绿灯闪亮3s (每秒1次) , 最后黄灯亮2s。此时, 另一方向(东西方向) 红黄绿三灯的工作顺序相应为: 绿灯亮25s, 绿灯闪亮3s (每秒1次) , 黄灯亮2s, 最后红灯亮0s。此后以60s 作为时间单位进行上述的反复循环, 较为合理地解决了十字路口的交通调度问题。 红绿灯的工作顺序及时间都是固定的, 缺乏灵活性。 当前,交通事业蓬勃发展,交通流量年年增长,大、中、小城市的汽车、摩托车等各种车辆与日俱增,道路交通繁忙,经常有严重堵车现象,特别是在交叉口,机动车、非机动车、行人来往非常混乱,为了在叉口的各条干道实现合理的科学分流。

数字电路课程设计:交通灯控制电路

JINGGANGSHAN UNIVERSITY 《数字电路》课程设计报告 题目:汽车尾灯控制电路 学号:1809106038 姓名:吴邪 班级:18通信一班 教师:陈田 2020年 06月07日

目录 第一章汽车尾灯控制电路设计 (1) 1.1设计说明 (1) 1.2技术指标 (1) 第二章设计方案论证 (2) 2.1可行性分析 (2) 2.2列出尾灯与汽车运行状态表 (2) 2.3总体框架 (3) 第三章设计单元电路 (4) 3.1开关控制电路 (4) 3.2译码、显示驱动电路 (5) 3.3三进制计数器 (6) 第四章设计汽车尾灯总体电路 (10) 第五章仿真与调试 (11) 5.1性能的测试 (11) 5.2安装调试过程 (11) 5.3 仿真电路图 (11) 第六章心得体会 (13) 附录 (14) 参考文献 (15) [1] 康华光. 电子技术基础:数字部分.[M].6版.北京:高等教育出版社,2014 (15) [2] 张克农.数字电子技术基础[M].2版.北京:高等教育出版社,2010 (15) [3] 路勇.电子电路实验及仿真.[M]北京:北京交通大学出版社,2004 (15) [4] 阎石. 数字电子技术. [M]北京:高等教育出版社,2006 (15)

第一章汽车尾灯控制电路设计 1.1设计说明 汽车尾灯控制电路是很常用的工作电路,在日常的生活中有着很广泛的用。汽车行驶时,会出现正常行驶、左转弯、右转弯、刹车四种情况,针对这四种情况可以设计出汽车尾灯的控制电路来表示这四种状态。 设计一个汽车尾灯控制电路,技术指标如下: 假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟); 汽车正常运行时指示灯全灭; 汽车左转弯时,左侧3个指示灯按左循环顺序点亮; 汽车右转弯时,右侧3个指示灯按右循环顺序点亮; 临时刹车时所有指示灯同时闪烁。在汽车行驶过程中,汽车尾灯会根据汽车行驶的状态相应的发生改变 1.2技术指标 ·汽车正常运行时指示灯全灭 ·汽车右转时,右侧三个指示灯按右循环顺序点亮 ·汽车左转时,左侧三个指示灯按左循环顺序点亮 ·汽车刹车时指示灯全亮

数电交通灯课程设计.doc

贺州学院 数字电路课程设计报告书 课题名称 交通灯控制电路 姓 名 学 号 系 部 物理与电子信息工程系 专 业 电气工程及其自动化 指导教师 2010 年 12 月7 日 ※※※※※※※※※ ※※ ※ ※ ※ ※ ※※ ※※※※※ ※※ 级学生数字电路 课程设计

目录 1 设计目的 (4) 2 设计思路 (4) 3 设计过程 (4) 3.1方案论证 (4) 3.2电路设计 (6) 3.2.1秒脉冲发生器 (6) 3.2.2定时器 (7) 3.2.3控制器 (9) 3.2.4译码电路 (10) 3.2.5显示部分 (11) 3.2.6总原理图 (12) 4系统调试与结果 (12) 5主要元件 (12) 6 结论 (13) 7设计心得体会 (13) 8 附录 (13) 8.1总原理图 (13) 8.2 PCB图 (14) 9参考文献 (14)

交通灯控制电路 摘要:交通信号灯常用于交叉路口,用来控制车辆的流量,提高交叉路口车辆的通行能力,减少交通事故。本交通灯设计主要由秒脉冲发生器、定时器、控制器、译码显示电路组成。秒脉冲发生器由NE555产生脉冲,定时器由74LS160实现,控制器由74LS153和74LS74组成,译码电路采用74LS48和七段数码管来显示。控制器通过ST信号对定时器进行控制,从而显示红黄绿灯的转换。 关键字:交通灯控制器秒脉冲发生器定时器译码器 1 、设计目的 (1)熟悉集成电路的引脚安排。 (2)掌握各芯片的逻辑功能及使用方法。 (3)了解面包板结构及其接线方法。 (4)了解数字交通灯控制电路的组成及工作原理。 (5)学会用仿真软件对设计的原理图进行仿真。 (6)熟悉数字交通灯控制电路的设计与制作。 2、设计思路 (1)设计秒脉冲发生器 (2)设计交通灯定时电路 (3)设计交通灯控制电路 (4)设计交通灯译码电路 (5)设计交通灯显示时间电路 3 设计过程 3.1方案论证 方案一用数电电子技术来实现交通灯控制 交通灯控制系统的原理框图如图1-1所示。 它主要由控制器、定时器、译码器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,译码器输出两组信号灯的控制信号,经驱动电路后驱动信号灯工作,控制器是系统的主要部分,由它控制定时器和译码器的工作。图中:TL: 表示甲车道或乙车道绿灯亮的时间间隔为25秒,即车辆正常通行的时间间隔。定时时间到,TL=1,否则,TL=0。 TY:表示黄灯亮的时间间隔为5秒。定时时间到,TY=1,否则,TY=0。 ST:表示定时器到了规定的时间后,由控制器发出状态转换信号。由它控制定时器开始下个工作状态的定时。

数字电路课程设计交通灯控制器 (1)

数字电路课程设计报告书 题目:交通灯控制器 一实验目的 1.综合应用数字电路知识设计一个交通灯控制器。了解各种元器件的原理及其应用。 2.深入了解交通灯的工作原理。 3.锻炼自己的动手能力和实际解决问题的能力。 二实验要求 1)在十字路口的两个方向上各设一组红黄绿灯,显示顺序为其中一个方向是绿灯,黄灯,红灯,另一方面是红灯,绿灯,黄灯。 2)设置一组数码管,以计时的方式显示允许通行或禁止通行时间,其中一个方向上绿灯亮的时间为20秒,另一个方向上绿灯亮的时间是30秒,黄灯亮的时间都是5秒。 3)当任何一个方向出现特殊情况,按下手动开关,其中一个方向常通行,倒计时停止,当特殊情况结束后,按下自动控制开关,恢复正常状态。 4)选作:用两组数码管实现双向到计时显示。 三使用元件

器件型号数量器件型号数量 161 2 LED 6 08 2 电阻4.7K 1 04 3 电阻150K 1 00 1 电阻100欧姆 1 48 2 电容4.7uF 1 74 1 电容103 1 555 1 数码管 2 面包板 1 斜口钳 1 四总体方案的设计 1.分析系统的逻辑功能,画出其框图 交通灯控制系统的原理框图如图所示。它主要由控制器、定时器和秒脉冲信号发生器等部分组成。秒脉冲发生器是该系统中定时器和控制器的标准时钟信号源,控制器是系统的主要部分,由它控制定时器,数码管和二极管的工作。 主控部分秒脉冲发生 器 交通灯 倒计时控制 部分 数码管显示反馈 控制

2.分析系统的状态变化,列出状态转换表: (1)主干道绿灯亮,支干道红灯亮。表示主干道上的车辆允许通行,支干道禁止通行。 (2)主干道黄灯亮,支干道红灯亮。表示主干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行,支干道禁止通行。 (3)主干道红灯亮,支干道绿灯亮。表示主干道禁止通行,支干道上的车辆允许通行。 (4)主干道红灯亮,支干道黄灯亮。表示主干道禁止通行,支干道上未过停车线的车辆停止通行,已过停车线的车辆继续通行。 交通灯以上4种工作状态的转换是由控制器器进行控制的。设控制器的四种状态编码为00、01、11、10,并分别用S0、S1、S3、S2表示,则控制器的工作状态及功能如下表所示: 控制状态信号灯状态车道运行状态 S0(00)主绿,支红主干道通行,支干道 禁止通行 S1(01)主黄,支红主干道缓行,支干道 禁止通行 S3(11)主红,支绿主干道禁止通行,支 干道通行 S2(10)主红,支黄主干道禁止通行,支

相关文档
最新文档