电子技术实验指导

《电子技术实验指导》

讲义

(供材料工程、物理教育专业学生使用)

目录

绪言 (2)

实验一常用电子仪器的使用与常用电子元器件的识别 (4)

实验二单管共射放大电路静态测试 (17)

实验三单管共射放大电路动态测试 (20)

实验四RC正弦波振荡器 (25)

第二部分数字电子技术基础实验 (30)

(使用TTL集成电路与CMOS集成电路的注意事项) (32)

实验五门电路逻辑功能及测试与组合逻辑电路的设计 (34)

实验六译码显示电路与编码器 (38)

实验七触发器逻辑功能的测试与应用 (42)

实验八时序逻辑电路 (46)

附录一部分集成电路引脚排列图 (49)

绪言

一、实验教学的基本要求

1、正确使用常用电子仪器,如示波器、信号发生器、数字万用表、参数测试仪、稳压电源等。

2、掌握基本的测试技术,如测量频率、相位、时间、脉冲波波形参数、电压或电流的平均值、有效值、峰值以及电子电路的主要技术指标。

3、具有查阅和网上查询电子技术有关资料的能力。

4、根据技术要求能选用合适的元器件,设计常用的小系统,并进行组装和调试。

5、初步具有分析,寻找和排除电子电路中常见故障的能力。

6、初步具有正确处理实验数据、分析误差的能力。

7、能独立写出严谨的、有理论分析的、实事求是的、文理通顺的、字迹端正的实验报告。

二、实验规则

为了顺利完成实验任务,确保人身、设备安全,培养严谨、踏实、实事求是的科学作风和爱护国家财产的优秀品质,特制定以下实验规则。

1、实验前必须充分预习,完成指定的预习任务。预习要求如下:

(1) 认真阅读实验指导书,分析、掌握实验电路的工作原理,并进行必要的估算。

(2) 完成各实验“预习要求”中指定的内容。

(3) 熟悉实验任务。

(4) 复习实验中各仪器的使用方法及注意事项。

2、使用仪器、设备前必须了解其性能、操作方法及注意事项,在使用时应严格遵守。

3、实验时接线要认真,相互仔细检查,确信无误才能接通电源。初学或没有把握时应经指导教师审查同意后才能接通电源。

4、实验时应注意观察,若发现有破坏性异常现象(例如有元件冒烟、发烫或有异味),应立即关断电源,保持现场,报告指导教师。找出原因、排除故障并经指导教师同意才能再继续实验。如果发生事故(例如元件或设备损坏)应主动填写实验事故报告单,服从实验室和指导教师对事故的处理决定(包括经济赔偿),并自觉总结经验,吸取教训。

5、实验过程中需要改接线时,应关断电源后才能拆、接线。

6、实验过程中应仔细观察实验现象,认真记录实验结果(数据、波形及其现象)。所记录的实验结果必须经指导教师审阅签字后才能拆除实验线路。

7、实验结束后,必须拉闸,并将仪器、设备、工具、导线等按规定整理好,才能离开实验室。

8、在实验室不得做与实验无关的事。

9、遵守纪律,不迟到、不乱拿他组的仪器、设备、工具、导线等。保持实验室内安静、整洁、爱护一切公物,不许在仪器设备或桌子上乱写乱画。

10、实验后每个同学都必须按要求做一份实验报告。

三、实验报告要求

1、每次实验后每人必须独立完成一份实验报告。

2、实验报告一般应包括以下内容:

(1)原始记录(数据、波形、现象及所用仪器设备编号等)。原始记录应有指导教师签字才有效。

(2) 画出实验电路,简述所做实验内容及结果。

(3) 对原始记录进行必要的分析、整理。并将原始记录与预习时理论分析所得的结果进行比较,分析误差原因。

(4) 重点报告实验中体会较深、收获较大的一、两个问题(如果实验中出现故障,

应将分析故障、查找原因作为重点报告内容),详细报告其过程,说明出现过什么现象,当时是怎么分析的,采取了什么措施,结果如何,有什么收获或应吸取什么教训。

(5) 回答任课教师指定的思考题。

3、实验报告封面上应写明实验名称、班号、实验者姓名、学号、实验日期和完成实验报告日期等(下面附有实验报告封面格式),并将实验报告整理装订好,按任课教师指定的时间上交。

实验一常用电子仪器的使用与常用电子元器件的识别

一、实验目的

1、学习电子电路实验中常用的电子仪器——示波器,函数信号发生器、直流稳压电源、交流毫伏表、模拟电路实验箱等的主要技术指标、性能及正确使用方法。

2、掌握常用电子元器件的识别方法和简单测试判别技术。

二、实验原理

在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器,直流稳压电源、交流毫伏表及频率计等.它们和万用电表一起,可以完成对模拟电子电路的静态和动态工作情况的测试。

实验中要对各种电子仪器进行综合使用.可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行等合理布局,各仪器与被测实验装置之间的布局与连接如图2-1所示。接线时应注意,为防止外界干扰,各仪器的公共接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。

图1-1 基本模拟电子技术实验系统布局图

1、YB4320/40G型双踪示波器

示波器是电子测量中最常用的一种电子仪器,可以用它来测试和分析时域信号。示波器通常由信号波形显示部分、垂直信道(Y通道)、水平信道(X)通道三部分组成。

图1-2 YB4320G/40G双踪示波器面板分布图

双踪示波器的操作方法

项目编号设置电源(POWER)(9)弹出

辉度(INTENSITY)(2)顺时针1/3处

聚焦(FOCUS)(4)适中

垂直方式(MODE)(42)CH1

断续(CHOP)(44)弹出

CH2反相(INV)(39)弹出

垂直位移(POSITION)(40)(43)适中

衰减开关(VOLTS/DIV)(10)(15)0.5V/div

微调(V ARIABLE)(14)(17)校准位置

AC—DC—接地(GND)(11)(12)(16)(18)接地

触发源(SOURCE)(29)CH1

耦合(COUPLING)(28)AC

触发极性(SLOPE)(25)+

交替触发(TRIG ALT)(27)弹出

电平锁定(LOCK)(32)按下

5扩展(5MAG)

10扩展(10MAG)

按上述设定了开关和控制按钮后,将电源线接到交流电源插座,然后,按如下步骤操作:(1) 打开电源开关,确定电源指示灯变亮,约20秒钟后,示波管屏幕上会显示光迹,如60

秒钟后仍未出现光迹,应按上表检查开关和控制按钮的设定位置。

(2) 调节辉度(1NTEN)和聚焦(FOCUS)旋钮,将光迹亮度调到适当,且最清晰。

(3) 调节CHl位移旋钮及光迹旋转旋钮,将扫描线调到与水平中心刻度线平行。

(4) 将探极连接到CHl输入端,将2Vp-p校准信号加到探极上。

(5) 将AC—DC—GND开关拨到AC,屏幕上将会出现方波波形。

(6) 调节聚焦(FOCUS)旋钮,使波形达到最清晰。

(7) 为便于信号的观察,将VOLTS/DIV开关和TIME/DIV开关调到适当的位置,使信

号波形幅度适中,周期适中。

(8)调节垂直移位和水平移位旋钮到适中位置,使显示的波形对准刻度线且电压幅度(Vp-p)和周期(T)能方便读出。

①电压测量方法:用示波器可以测量正弦波、脉冲波及各种非正弦波的电压幅度

Vpp=Dy×Hy

其中:Vpp—被测电压峰—峰值

Dy——偏转灵敏度V/div

Hy——被测电压波形高度div

②时间测量:与电压测量方法相同,被测时间可由下式求得

T = Dx×Hx

其中:T—被测时间。如果被测时间为一个周期既表示被测周期,其倒数为被测频率。

Dx—扫描速度ms/div

Hx—被测时间水平长度

2、函数信号发生器

函数信号发生器按需要输出正弦波,方波、三角波三种信号波形.输出电压最大可达20V P-P。通过输出衰减开关和输出幅度调节旋钮,可使输出电压从毫伏级到伏级范围内连续调节。函数信号发生器的输出信号频率可以通过频率分档开关进行调节.

函数信号发生器作为信号源,它的输出端不允许短路。

图1—3 YB1610 函数发生器面板布局图

YB1610函数信号发生器的开关功能

该仪器开关功能与说明均于面板布局编号相对应。

(1)电压开关:将电源线接入,按电源开关,以接通电源。

(2)LED显示窗口:此窗口指示输出信号的频率,当“外测”开关接入,显示外测信号的频率。如果超出测量范围,溢出指示灯亮。

(3)频率调节旋钮调节此旋钮改变输出信号频率,微调旋钮可以微调频率。

(4)占空比:将占空比开关按下,占空比指示灯亮,调节占空比旋钮,可改变波形的占空比。

(5)波形选择开关:按对应波形的某一键,可选择需要的波形。

(6)衰减开关:两档开关组合为20dB、40dB、60dB。

(7)频率范围选择开关(并兼频率计闸门开关):根据所需要的频率,按其中一键。

(8)计数、复位开关:按计数键,LED显示开始计数,按复位键,LED显示全位零。

(9)计数/频率端口:计数、外测频率输入端口。

(10)外测频开关:此开关按入LED显示外测信号的频率或计数值。

(11)电平调节:按如电平调节开关,电平指示灯亮,此时调节电平调节旋钮,可改变直流偏置电平。

(12)幅度调节旋钮:调节此旋钮可改变输出电压的幅度。

(13)电压输出口:电压输出由此端口输出。

(14)TTL/COMS输出端口:由此端口输出TTL/COMS信号。

(15)VCF:由此端口输入电压控制频率变化。

(16)扫频:按入扫频开关,电压输出端口为扫频信号,调节频率旋钮,可改变扫频速率,改变线性/对数开关可产生线性扫频和对数扫频。

(17)电压输出指示:3位LED显示时常电压值,输出接50Ω负载时应将读数除以2。

(18)50Hz正弦波输出端口:50Hz约2 Vpp正弦波由此端口输出。

(19)调频(FM)输入端口:外调频波由此端口输入。

(20)交流电源220V输入插座

3、交流毫伏表

交流毫伏表只能在其工作频率范围之内,用来测量正弦交流电压的有效值。

YB2172型交流毫伏表是测量正弦信号的有效值的比较

理想的仪器,在电子测量领域中得到广泛的应用。交流毫伏

表属于放大—检波式电压表,它具有灵敏度高、工作频率范

围宽等优点。为了使用的需要,表面用正弦电压有效值刻度,

因此,只有当测量正弦电压有效值时读数才是正确的。

(1)YB2172交流毫伏表主要技术指标:

测量电压范围:1mV~300V

测量电平范围:—60dB~+50dB

工作频率范围:20Hz~200kHz

输入阻抗:10M(f=1kHz)

(2)YB2172交流毫伏表使用注意事项:

①接通电源后首先预热以保持工作稳定。

②进行电调零。将输入电缆短路,进行机械调零。

③测量前应将“测量范围”置于适当档。若测量未知量电压,则应将“测量范围”旋钮置于大量程档,再逐步减小量程至适当位置。表的指针以到2/3至满量程范围为宜。

4、固定电阻

①直标法

将电阻的阻值和误差直接用数字和字母印在电阻上(无误差标示为允许误差20%)。也有厂家采用习惯标记法,如:

3 3 I 表示电阻值为3.3、允许误差为5%

1 K8 表示电阻值为1.8kΩ、允许误差为±20%

5 M1 II 表示电阻值为5.1MΩ、允许误差为±10%

②色标法

将不同颜色的色环涂在电阻器(或电容器)上来表示电阻(电容器)的标称值及允许误差种类颜色所对应的数值见表1—1。

表1—1 电阻器色标符号意义

颜色有效数字第一位数有效数字第二位数倍乘数允许误差%

棕 1 1 101 1

红 2 2 102 2

橙 3 3 103

黄 4 4 104

绿 5 5 105 0.5

蓝 6 6 106 0.2

紫7 7 107 0.1

灰8 8 108

白9 9 109

黑0 0 100

金- - 10-1 5

银- - 10-2 10

无色- - - 20

普通电阻用四条色环表示标称电阻值和允许偏差,即两位有效数字的色环标志法。靠近电阻端的第一道环表示阻值最大一位数字;第二环表示电阻值的第二位数字;第三环表示阻值末尾应有几个零;第四环表示阻值的误差。

精密电阻常用五条色环表示标称电阻阻值和允许偏差,即三位有效数字的色环标志法。第一道环表示阻值最大一位数字;第二环表示电阻值的第二位数字;第三环表示电阻值的第二位数字;第四环表示阻值末尾应有几个零;第五环表示阻值的误差。如图1.4所示。

则该电阻标称值及精度为:则该电阻标称值及精度为:

24×101=240Ω精度:±5% 680×103=680kΩ精度:±0.1%

图1.4 色环电阻示例图

③文字符号

例如:3M3K 3M3表示3.3 MΩ,K表示允许偏差为±10%。允许偏差与字母的对应关系见表1—2。

表1—2 电阻(电容)器偏差标志符号表

允许偏差标志符号允许偏差标志符号允许偏差标志符号

±0.001E±0.1B±10K

±0.002Z±0.2C±20M

±0.005Y±0.5D±30N

±0.01H±1F

±0.02U±2G

±0.05W±5J

○4用数码表示法

数码一般为三位效,前两位为电容量的有效数字,第三位是倍乘数,单位是。

例:333表示电阻阻值为33k

5.可变电阻器

可变式电阻器一般称为电位器,从形状上分有圆柱形、长方体形等多种形状;从结构上分有直滑式、旋转式,带开关式、带紧锁装置式、多连式,多圈式、微调式和无接触式等多种形式;从材料上分有碳膜、合成膜、有机导电体、金属玻璃釉和合金电阻丝等多种电阻材

料。碳膜电位器是较常用的一种。

电位器在旋转时,其相应的阻值依旋转角度而变化。

6. 电容器

电容器也是组成电子电路的基本元件,在电路中所占比例仅次于电阻。利用电容器充电、放电和隔直流通交流的特性,在电路中用于隔断直流、耦合交流、旁路交流、滤波、定时和组成振荡电路等。电容器用符号C表示。

①电容器型号命名方法

其基本内容见表1.3表

表1—3中的规定对可变电容器和真空电容器不适用,对微调电容器仅适用于瓷介微调电容器。在某些电容器的型号中还用X表示小型,用M表示密封,也有的用序号来区分电容器的形式、结构、外形尺寸等。

表1—3 电容器型号命名方法

②电容器的单位

电容器的常用单位有微法(F)、纳法(nF)、和皮法(pF),它们与基本单位(F)的换算关系如下:

mF (毫法或简称为m)=10-3F F (微法或简称为)=10-6F

nF (纳法或简称为n)=10-9F pF (皮法或简称为p)= 10-12F

③电容器的标示方法

国际电工委员会推荐的标示方法为:p、n、、m表示法。

具体方法有:a) 用2~4位数字表示电容量有效数字,再用字母表示数值的量级,如1p2 表示:1.2 pF;220n 表示:0.22 F

3 3 表示:3.3 F ;2m2 表示:2200 F

b)用数码表示,数码一般为三位效,前两位为电容量的有效数字,第三位是倍乘数,但第三位倍乘数是9时,表示10-1,如:

102 表示:10102=1000pF

223 表示:22103=0.022 F

474 表示:47104=0.47 F

159 表示:1510-1=1.5 pF

c)色标法。电容器色标法原则上与电阻器色标法相同,标志的颜色符号与电阻器采用的相同。其单位是皮法(pF)。电解电容器的工作电压有时也采用颜色标志:6.3V用棕色,10V 用红色,16V用灰色。色点应标在正极。

④电容器的主要参数有:

a)电容器的标称容量和偏差

b)额定直流工作电压

⑤电容器的主要种类有:

纸介电容器、金属化纸介电容器、有机薄膜介质电容器、瓷介电容器、云母电容器、电解电容器。

7. 半导体二极管、三极管

通常小功率锗二极管的正向电阻值为300-500,硅管为1k或更大些。锗管反向电阻为几十千欧,硅管反向电阻在500k以上(大功率二极管的数值要大得多)。正反向电阻差值越大越好。

点接触二极管的工作频率高,不能承受较高的电压和通过较大的电流,多用于检波、小电流整流或高频开关电路。面接触二极管的工作电流和能承受的功率都较大,但适用的频率较低.多用于整流、稳压、低频开关电路等方面。

选用整流二极管时,既要考虑正向电压,也要考虑反向饱和电流和最大反向电压。选用检波二极管时,要求工作频率高,正向电阻小,以保证较高的工作效率,特性曲线要好,避免引起过大的失真。

①用三用表判别晶体管管脚和类型的原理及方法

判别管脚和类型时,使用万用表的电阻挡测试。万用表电阻挡等效电路如图1.5所示。其中E0为表内电源电压,R0为等效电阻,不同电阻挡等效内阻各不相同。

万用表R1,R l0,R l00,R lk挡时,一般Eo=1.5V。R l0k挡时,该挡电压为Eo=15V,采用该档测晶体管,易损坏管子。测试小功率晶体管时,一般选R l00,R lk档。

图1.5 万用表电阻挡等效电路

②用万用表判别二极管

晶体三极管等效图如图1.6所示。用黑表笔(电源正极)接二极管阳极,红表笔(电源负极)接二极管阴极时,二极管正向导通;反之,二极管反向截止。正向导通电阻约几百欧,反向电阻约几百千欧以上。阻值在这个范围内,说明管子是好的;如果正向和反向电阻均为无穷大,则表明二极管内部断开;如果正向和反向电阻均为零,说明二极管内部短路;如果正、反向电阻接近,则二极管性能严重恶化。

(a)NPN型(b)PNP型

图1.6 晶体三极管等效图

③用三用表判别三极管的管脚和类型

a) 先判别基极b

三极管可等效为两个背靠背连接的二极管。如图1.2所示。

根据PN结单向导电原理:基—集,基—射结正向导通电阻均较小,反向电阻均较大,很容易把基极判别出来。现以NPN管为例。

基极

基极

(a) NPN管(b) PNP管

图1.5 判别三极管基极和类型

测量时,先假设某一管脚为“基极b”,用黑表笔接假设的“基极b”,红表笔分别接其余两个管脚,如图1.5所示,若阻值均较小,再将黑红笔对调(即红笔接假设的基极),重复测量一次,若阻值均较大,则原先假设的基极是正确的.如果两次测得的阻值是一大一小,则假设的基极是错误的,这时应重新假设基极,重新测量。

b) 判别管子类型

由上面判别基极的结果,同时可知管子类型。如用黑笔(电池正极)接管子基极,红笔(电

池负极)分别接其余两脚时,电阻值均较小,由PN结单向导电原理知道,基极是P区,集电极和发射极是N区,故为NPN管。反之,红笔接基极,黑笔分别接c、e极,电阻值均较小,则是PNP管。

c) 判别集电极C

在已知基极b和管子类型的基础上,进而可判别集电极“由共射极单管放大原理可知;对NPN管而言,当集电极接电源正极,发射极接电源负极,若给基极提供一个合适的偏流时,三极管就处在放大导通状态,I C较大。

测量时,先假设一个管脚为集电极“C”,用手指把基极和假设的集电极“C”捏紧,人体电阻相当于基极偏置电阻R b,注意不要使两管脚直接接触.用黑笔接“C”,红笔接“e”,读出其阻值;然后再与上述假设相反测量一次,比较两次阻值大小,若第一次阻值小,则第一次假设的集电极是正确的,另一管脚就是发射极。测量电路如图1.7所示。

对PNP管,测试时只需将表笔对调即可,请读者自己分析。

图1.7 判别三极管集电极

三、实验设备与器件

1、函数信号发生器

2、双踪示波器

3、交流毫伏表

4、数字万用表、机械万用表

四、实验内容

1、熟悉双踪示波器的原理框图及主要开关、旋钮的作用。用机内校正信号对示波器进行自检。

1) 扫描基线调节

将示波器的显示方式开关置于“单踪”显示(Y1或Y2),输入耦合方式开关置“GND ”,触发方式开关置于“自动”。开启电源开关后,调节“辉度”、“聚焦”、“辅助聚焦”等旋钮,使荧光屏上显示一条细而且亮度适中的扫描基线。然后调节“X 轴位移“()和“Y 轴位移”() 旋钮,使扫描线位于屏幕中央,并且能上下左右移动自如。 2) 测试·校正信号·波形的幅度、频率

将示波器的“校正信号”通过专用电缆线引入选定的Y 通道(Y1或Y2),将Y 轴输入耦合方式开关置于“AC”或“DC”,触发源选择开关置“内”,内触发源选择开关置“Y1”或“Y2”。调节X 轴“扫描速率”开关(t /div)和Y 轴“输入灵敏度”开关(v /div),使示波器显示屏上显示出一个或数个周期稳定的方波波形。 A .校准“校正信号”幅度

将“y 轴灵敏度微调”旋钮置“校准”位置,“y 轴灵敏度”开关置适当位置,读取校正信号幅度,记入表1-4。

测量示波器校正信号的幅度和频率,并在直角坐标上绘出波形。数据记录于表1-4。 B .校准“校正信号”频率 将“扫描微调”旋钮置“校准”位置,“扫速”开关置适当位置,读取校正信号周期,记入表1—4。

表1-4

2、示波器和交流毫伏表测量信号参数

调节函数信号发生器有关旋钮,使输出频率分别为l00Hz ,IkHz 、10kHz 、100kHz ,有效值均为2V(交流毫伏表测量值)的正弦波信号。

改变示波器“扫描”开关及“Y 轴灵敏度”开关等位置,测量信号源输出电压频率及峰峰值,记入表1—5。

表1—5

信号电压频

示波器测量值 信号电压 毫伏表读数(V ) 示波器测量值 周期 (ms )

频率 (Hz ) 峰峰值(V )

有效值

(计算V ) 100Hz 2V 1kHz

2V

校正信号

V/div 波形图

HY

V PP t/div HX T f

10kHz 2V

100kHz 2V

3、用示波器、交流毫伏表及数字万用表监测函数信号发生器输出的正弦信号。

表1—6

正弦波交流毫伏表(有效值)双踪示波器数字万用表

f U S U PP U S

400Hz 5V

10KHz 5V

40KHz 5V

400KHz 5V

4、常用电子元器件的识别

表1—7色环颜色的意义

颜色黑棕红橙黄绿蓝紫灰白金银本色对应数值0 1 2 3 4 5 6 7 8 9 / / / 对应10n的方次100101102103104105106107108109/ / / 表示误差值/ ±1% ±2% / / ±0.5% ±0.25% ±0.1% / / ±5% ±10% ±20%

(1)电阻:

电阻编号色环颜色(顺序)电阻标称值/Ω允许偏差万用表(机械、数字)测

量的阻值/Ω测量值与标称值

之差/Ω

1

2

3(电位器)

(2)可变电阻器:

(3)电容器:微法(F)、纳法(nF)、和皮法(pF),它们与基本单位(F)的换算关系如下:mF (毫法或简称为m)=10-3F F (微法或简称为)=10-6F

nF (纳法或简称为n)=10-9F pF (皮法或简称为p)= 10-12F

(4)二极管:

二极管编号

类型

(硅、锗)

用数字式万用表时测得

的二极管正向压降/V

用指针式万用表测得的正、反向偏置时二极管两个极之间

的电阻/Ω

正向偏置反向偏置

1号

2号

(6)三极管:

五、实验报告要求

1. 写明实验目的。

2. 写明实验所用仪器、设备及名称、型号。

3. 整理实验数据,并进行分析。

4. 总结示波器、信号发生器、毫伏表、万用表的使用方法和主要特点。

5. 总结元器件识别方法和万用表判别二极管、三极管极性的方法。

实验二单管共射放大电路静态测试

一、实验目的

1、学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响。

2、熟悉常用电子仪器及模拟电路实验设备的使用。

二、实验原理

图2-1为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R B1和R B2组成的分压电路,并在发射极中接有电阻R E,以稳定放大器的静态工作点。当在放大器的输入端加入输入信号U i后,在放大器的输出端便可得到一个与U i相位相反,幅值被放大了的输出信号u O,从而实现了电压放大。

图2—1 共射极单管放大电路实验电路

在图2—1电路中,当流过偏置电阻R B1和R B2电流远大于晶体管T 基极电流I B 时(一般5~10倍),则它的静态工作点可用下式估算 CC B B B B U R R R U ×+2

11

C E

BE

B E I R U U I ≈-≈

电压放大倍数 be

L

C V r R R A //=

β

输入电阻 R = R B1//R B2//r be 输出电阻 R O ≈ R C

放大器静态工作点的调试是指对管子集电极电流I C (或U CE )的调整与测试。

静态工作点是否合适,对放大器的性能和输出波形都有很大影响。如工作点偏高,放大器在加入交流信号以后易产生饱和失真,此时U o 的负半周将被削底,如图2—2(a)所示。如工作点偏低则易产生截止失真,即U o 的正半周被缩顶(一般截止失真不如饱和失真明显),如图2—2(b)所示。这些情况都不符合不失真放大的要求。所以在选定工作点以后还必须进行动态调试,即在放大器的输入端加入一定的输入电压u i ,检查输出电压u O 的大小和波形是否满足要求。如不满足,则应调节静态工作点的位置。

图2—2(a) 图2—2(b)

图2—2 静态工作点Q 对u O 波形失真的影响

改变电路参数Ucc 、Rc 、R B (R B1、R B2)都会引起静态工作点的变化,如图2—3所示。

图2—3 电路参数对静态工作点的影

但通常多采用调节偏置电阻R B2的方法来改变静态工作点。例如减小R B2,则可使静态工作点提高等。

最后还要说明的是,上面所说的工作点“偏高”或“偏低”不是绝对的,应该是相对信号的幅度而言,如输入信号幅度很小,即使工作点较高或较低也不一定会出现失真。所以确切地说,产生波形失真是信号幅度与静态工作点设置配合不当所致。如需满足较大信号幅度的要求,静态工作点最好尽量靠近交流负载线的中点。

三、实验设备与器件

1、模拟电路实验箱

2、双踪示波器

3、万用电表

4、函数信号发生器

5、交流毫伏表

四、实验内容

实验电路如图2-1所示。各电子仪器可按实验一中图1—1所示方式连接,为防止干扰,各仪器的公共端必须连在一起。同时信号源、交流毫伏表和示波器的引线应采用专用电缆线或屏蔽线,如使用屏蔽线,则屏蔽线的外包金属网应接在公共接地端上。

1、调试静态工作点

接通直流电源前,先将R W调至最大,函数信号发生器输出旋钮旋至零。接通+12V电源、调节R W,使U CE=5V,用直流电压表测量U B、U E、、U C及用万用电表测量R B2值。记入表2-1。

表2-1 U CE=5V

测量值计算值

U B(V) U E(V) U c(V) R B2(K) U BE(V) U CE(V) I C(mA)

2、测量电压放大倍数

在放大器输入端u i处加入频率为1kHz的正弦信号,调节函数信号发器的输出旋钮使放大器输入电压峰峰值u i100mV,同时用示波器观察放大器输出电压u O波形,在波形不失真的条件下用交流毫伏表测量下述两种情况下的u O值,并用双踪示波器测试U OPP和观察u O 和u i的相位关系,记入表2-2。

表2-2 U CE=5V u i=100mV

R C(k) R L(k) U O(V) U OPP(V) A V 观察记录一组u O和u i波形

2.4

2.4 1

五、实验报告要求

1.写明实验目的。

2.写明实验所用仪器、设备及名称、型号。

3.画出实验电路的直流和交流等效电路,计算直流工作点,与实验实测结果比较。

4.整理实验数据。

5.写出本实验的心得体会

实验三单管共射放大电路动态测试

一、实验目的

1、掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法。

2、熟悉常用电子仪器及模拟电路实验设备的使用。

二、实验原理

图3-2为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R B1和R B2组成的分压电路,并在发射极中接有电阻R E,以稳定放大器的静态工作点。当在放大器的输入端加入输入信号U i后,在放大器的输出端便可得到一个与U i相位相反,幅值被放大了的输出信号u O,从而实现了电压放大。

电子技术基础实验指导书

《电子技术基础》实验指导书 电子技术课组编 信息与通信工程学院

实验一常用电子仪器的使用 一、实验类型-操作型 二、实验目的 1、学习电子电路实验中常用的电子仪器——示波器、函数信号发生器、直流稳压电源、交流毫伏表、频率计等的主要技术指标、性能及正确使用方法。 2、初步掌握用双踪示波器观察正弦信号波形和读取波形参数的方法。 三、实验原理 在模拟电子电路实验中,经常使用的电子仪器有示波器、函数信号发生器、直流稳压电源、交流毫伏表及频率计等。它们和万用电表一起,可以完成对模拟电子电路的静态和动态工作情况的测试。 实验中要对各种电子仪器进行综合使用,可按照信号流向,以连线简捷,调节顺手,观察与读数方便等原则进行合理布局,各仪器与被测实验装置之间的布局与连接如图1-1所示。接线时应注意,为防止外界干扰,各仪器的共公接地端应连接在一起,称共地。信号源和交流毫伏表的引线通常用屏蔽线或专用电缆线,示波器接线使用专用电缆线,直流电源的接线用普通导线。

图1-1 模拟电子电路中常用电子仪器布局图 1、示波器 示波器是一种用途很广的电子测量仪器,它既能直接显示电信号的波形,又能对电信号进行各种参数的测量。现着重指出下列几点: 1)、寻找扫描光迹 将示波器Y轴显示方式置“Y1”或“Y2”,输入耦合方式置“GND”,开机预热后,若在显示屏上不出现光点和扫描基线,可按下列操作去找到扫描线:①适当调节亮度旋钮。②触发方式开关置“自动”。③适当调节垂直()、水平()“位移”旋钮,使扫描光迹位于屏幕中央。(若示波器设有“寻迹”按键,可按下“寻迹”按键,判断光迹偏移基线的方向。) 2)、双踪示波器一般有五种显示方式,即“Y1”、“Y2”、“Y1+Y2”三种单踪显示方式和“交替”“断续”二种双踪显示方式。“交替”显示一般适宜于输入信号频率较高时使用。“断续”显示一般适宜于输入信号频率较低时使用。 3)、为了显示稳定的被测信号波形,“触发源选择”开关一般选为“内”触发,使扫描触发信号取自示波器内部的Y通道。 4)、触发方式开关通常先置于“自动”调出波形后,若被显示的波形不稳定,可置触发方式开关于“常态”,通过调节“触发电平”旋钮找到合适的触发电压,使被测试的波形稳定地显示在示波器屏幕上。 有时,由于选择了较慢的扫描速率,显示屏上将会出现闪烁的光迹,但被

电力电子技术实验指导书

实验一单结晶体管触发电路及示波器使用 班级学号姓名 同组人员 实验任务 一.实验目的 1.熟悉单结晶体管触发电路的工作原理及各元件的作用。 2.掌握单结晶体管触发电路的调试步骤和方法。 3.详细学习万用表及示波器的使用方法。 二.实验设备及仪器 1.教学实验台主控制屏 2.NMCL—33组件 3.NMCL—05E组件 4.MEL—03A组件 5.双踪示波器(自备) 6.万用表(自备) 7. 电脑、投影仪 三.实验线路及原理 将NMCL—05E面板左上角的同步电压输入接SMCL-02的U、V输出端,触发电路选择单结晶体管触发电路,如图1所示。 图1单结晶体管触发电路图 四.注意事项 双踪示波器有两个探头,可以同时测量两个信号,但这两个探头的地线都与示波器的外

壳相连接,所以两个探头的地线不能同时接在某一电路的不同两点上,否则将使这两点通过示波器发生电气短路。为此,在实验中可将其中一根探头的地线取下或外包以绝缘,只使用其中一根地线。当需要同时观察两个信号时,必须在电路上找到这两个被测信号的公共点,将探头的地线接上,两个探头各接至信号处,即能在示波器上同时观察到两个信号,而不致发生意外。 五.实验内容 1.实验预习 (1)画出晶闸管的电气符号图并标明各个端子的名称。 (2)简述晶闸管导通的条件。 (3)示波器在使用两个探针进行测量时需要注意的问题。 2. 晶闸管特性测试 请用万用表测试晶闸管各管脚之间的阻值,填写至下表。 + A K G - A K G 3.单结晶体管触发电路调试及各点波形的观察 按照实验接线图正确接线,但由单结晶体管触发电路连至晶闸管VT1的脉冲U GK不接(将NMCL—05E面板中G、K接线端悬空),而将触发电路“2”端与脉冲输出“K”端相连,以便观察脉冲的移相范围。 合上主电源,即按下主控制屏绿色“闭合”开关按钮。这时候NMCL—05E内部的同步变压器原边接有220V,副边输出分别为60V(单结晶触发电路)、30V(正弦波触发电路)、7V(锯齿波触发电路),通过直键开关选择。 合上NMCL—05E面板的右下角船形开关,用示波器观察触发电路单相半波整流输出(“1”),梯形电压(“3”),梯形电压(“4”),电容充放电电压(“5”)及单结晶体管输出电压(“6”)和脉冲输出(“G”、“K”)等波形,并绘制在下图相应位置。

《模拟电子技术实验》实验指导书

北方民族大学 Beifang University of Nationalities 《模拟电子技术实验》课程指导书 北方民族大学教务处

北方民族大学 《模拟电子技术实验》课程指导书 编著杨艺丁黎明 校审杨艺 北方民族大学教务处 二〇一二年三月

《模拟电子技术实验》课程是工科类大学二年级学生必修的一门实践类课程。实验主要设备包括模拟电子技术实验箱、信号发生器、示波器、数字万用表、交流毫伏表和直流电源等。 课程教学要求是:通过该课程,学生学会正确使用常用的电子仪器,掌握三极管放大电路分析和设计方法,掌握集成运放的使用及运算放大电路各项性能的测量,学会查找并排除实验故障,初步培养学生实际工程设计能力,学会仿真软件的使用,掌握工程设计的概念和步骤,为以后学习和工作打下坚实的实践基础。 《模拟电子技术实验》课程内容包括基础验证性实验,设计性实验和综合设计实践三大部分。 基础验证性实验主要包括仪器设备的使用、双极性三极管电路的分析、负反馈放大电路的测量等内容。主要培养学生分析电路的能力,掌握电路基本参数的测量方法。 设计性实验主要包括运算电路的实现等内容。主要要求学生掌握基本电路的设计能力。 综合设计实践主要包括项目的选题、开题、实施和验收等过程,要求学生能够掌握电子产品开发的整个过程,提高学生的设计、制作、调试电路的能力。 实验要求大家认真做好课前预习,积极查找相关技术资料,如实记录实验数据,独立写出严谨、有理论分析、实事求是、文理通顺、字迹端正的实验报告。 本书前八个实验项目由杨艺老师编写,实验九由丁黎明老师编写。全书由丁黎明老师提出课程计划,由杨艺老师进行校对和排版。参与本书课程计划制订的还有电工电子课程组的全体老师。 2012年3月1日

电力电子技术实验

《电力电子技术》实验指导书 指导教师:王跃鹏李向丽 燕山大学电气工程学院 应用电子实验室 二零零四年七月

实验一 锯齿波同步移相触发电路实验 一、实验目的 1、加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 2、掌握锯齿波同步触发电路的调试方法。 二、实验内容 1、锯齿波同步触发电路的调试。 2、锯齿波同步触发电路各点波形观察、分析。 三、实验线路及原理 锯齿波同步移相触发电路主要由脉冲形成和放大、锯齿波形成、同步移相等环节组成。 四、实验设备及仪器 1、MCL-Ⅲ型交流调速系统实验台 2、MCL-32组件 3、MCL-31组件 4、MCL-05组件 5、双踪示波器 五、实验方法 1、将MCL-05面板上左上角的同步电压接入MCL-32的U 、V 端,并将MCL-31的“g U ”和“地”端分别接入MCL-05的“ct U ”和“7”端,“触发电路选择”拨向“锯齿波”。 2、合上主电路电源开关,并打开MCL-05面板右下角的电源开关,用示波器观察各观测孔的电压波形,示波器的地线接于“7”端。 同时观测“1”、“2”孔的波形,了解锯齿波宽度和“1”点波形的关系。 观察“3”~“5”孔波形,调节RP1,使3”的锯齿波刚出现平顶,记下各波形的幅值与宽度。 六、实验报告 整理,描绘实验中记录的各点波形。

实验二 单相桥式全控整流电路实验 一、实验目的 1、了解单相桥式全控整流电路的工作原理。 2、研究单相桥式全控整流电路在电阻负载、阻感负载时的工作特点。 二、实验内容 1、单相桥式全控整流电路供给电阻负载。 2、单相桥式全控整流电路供给阻感负载。 三、实验线路及原理 单相桥式全控整流电路的实验线路如图2-1所示,其工作原理可参见“《电力电子技术》(第四版,王兆安、黄俊编)”教材。 四、实验设备及仪器 1、MCL-Ⅲ型交流调速系统实验台 2、MCL-32组件 3、MCL-31组件 4、MCL-05组件 5、双踪示波器 五、实验方法 1、单相桥式全控整流电路供给电阻负载。 按照图2-1接线,接上电阻负载(采用MEL-03上的两只900Ω的电阻并联),并将负载电阻调至最大,短接平波电抗器。合上主电路电源,调节给定电压g u 的大小,观察不同α角时的整流电路的输出电压波形)(t f u d =,以及晶闸管的端电压波形)(t f u T =。 2、单相桥式全控整流电路供给阻感负载。 按照图2-1接线,接上阻感负载(电感选择700mH ,电阻采用MEL-03上的两只900Ω的电阻并联),并将负载电阻调至最大。合上主电路电源,调节给定电压g u 的大小,观察不同α角时的整流电路的输出电压波形)(t f u d =,以及晶闸管的端电压波形 )(t f u T =。 六、实验报告

电子技术实验指导书

实验一常用电子仪器的使用方法 一、实验目的 了解示波器、音频信号发生器、交流数字毫伏表、直流稳压电源、数字万用电表的使用方法。二实验学时 2 学时 三、实验仪器及实验设备 1、GOS-620 系列示波器 2、YDS996A函数信号发生器 3、数字交流毫伏表 4、直流稳压电源 5、数字万用电表 四、实验仪器简介 1、示波器 阴极射线示波器(简称示波器)是利用阴极射线示波管将电信号转换成肉眼能直接观察的随时间变化的图像的电子仪器。示波器通常由垂直系统、水平系统和示波管电路等部分组成。垂直系统将被测信号放大后送到示波管的垂直偏转板,使光点在垂直方向上随被测信号的幅度变化而移动;水平系统用作产生时基信号的锯齿波,经水平放大器放大后送至示波管水平偏转板,使光点沿水平方向匀速移动。这样就能在示波管上显示被测信号的波形。 2、YDS996A函数信号发生器通常也叫信号发生器。它通常是指频率从0.6Hz至1MHz的正弦波、方波、三角波、脉冲波、锯齿波,具有直流电平调节、占空比调节,其频率可以数字直接显示。适用于音频、机械、化工、电工、电子、医学、土木建筑等各个领域的科研单位、工厂、学校、实验室等。 3、交流数字毫伏表 该表适用于测量正弦波电压的有效值。它的电路结构一般包括放大器、衰减器(分压器)、检波器、指示器(表头)及电源等几个部分。该表的优点是输入阻抗高、量程广、频率范围宽、过载能力强等。该表可用来对无线电接收机、放大器和其它电子设备的电路进行测量。 4、直流稳压电源: 它是一种通用电源设备。它为各种电子设备提供所需要的稳定的直流电压或电流当电网电压、负载、环境等在一定范围内变化时,稳压电源输出的电压或电流维持相对稳定。这样可以使电子设备或电路的性能稳定不变。直流电源通常由变压、整流、滤波、调整控制四部分组成。有些电源还具有过压、过流等保护电路,以防止工作失常时损坏器件。 6、计频器 GFC-8010H是一台高输入灵敏度20mVrms,测量范围0.1Hz至120MHz的综合计频器,具备简洁、高性能、高分辨率和高稳定性的特点。 5、仪器与实验电路的相互关系及主要用途:

电力电子技术实验指导书最新版

电力电子技术实验指导书 第一章概述 一、电力电子技术实验内容与基本实验方法 电力电子技术是20世纪后半叶诞生和发展的一门新技术,广泛应用于工业领域、交通运输、电力系统、通讯系统、计算机系统、能源系统及家电、科研领域。 电力电子技术课程既是一门技术基础课程,也是一门实用性很强的应用型课程,因此实验在教学中占有十分重要的位置。 电力电子技术实验课的主要内容为:电力电子器件的特性研究,重点是开关特性的研究;电力电子变换电路的研究,包括:三相桥式全控整流电路(AC/DC 变换)、SPWM逆变电路(DC/AC变换)、直流斩波电路(DC/DC变换)、单相交流调压电路(AC/AC变换)四大类基本变流电路。 电力电子技术实验借助于现代化的测试仪器与仪表,使学生在实验的同时熟悉各种仪器的使用,以进一步提高实验技能。 波形测试方法是电力电子技术实验中基本的、常用的实验方法,电力电子器件的开关特性依据波形测试而确定器件的工作状态及相应的参数;电力电子变换电路依据波形测试来分析电路中各种物理量的关系,确定电路的工作状态,判断各个器件的正常与否。因此,掌握不同器件、不同电路的波形测试方法,可以使学生进一步掌握电力电子电路的工作原理以及工程实践的方法。

本讲义参考理论课的内容顺序编排而成,按照学生掌握知识的规律循序渐进,旨在加强学生实验基本技能的训练、实现方法的掌握;培养和提高学生的工程设计与应用能力。 由于编者水平有限,难免有疏漏之处,恳请各位读者提出批评与改进意见。 二、实验挂箱介绍与使用方法 (一)MCL—07挂箱电力电子器件的特性及驱动电路 MCL—07挂箱由GTR驱动电路、MOSFET驱动电路、IGBT驱动电路、PWM 发生器、主电路等部分组成。 1、GTR驱动电路:内含光电耦合器、比较器、贝克箝位电路、GTR功率器件、串并联缓冲电路、保护电路等。可对光耦特性(延迟时间、上升时间、下降时间),贝克电路对GTR导通关断特性的影响,不同的串、并联电路对GTR开关特性的影响以及保护电路的工作原理进行分析和研究。 2、MOSFET驱动电路:内含高速光耦、比较器、推挽电路、MOSFET功率器件等。可以对高速光耦、推挽驱动电路、MOSFET的开启电压、导通电阻R ON、跨导g m、反相输出特性、转移特性、开关特性进行研究。 3、IGBT电路驱动:采用富士IGBT专用驱动芯片EXB841,线路典型,外扩保护电路。可对EXB841的驱动电路各点波形以及IGBT的开关特性进行研究。 本挂箱的特点: (1)线路典型,有助于对基本概念的理解,力求通过实验,使学生对自关断器件的特性有比较深刻的理解。

模拟电子技术实验

实验2 单管放大电路 1.1 实验目的 (1) 熟悉电子元件和模拟电路实验箱。 (2) 掌握放大器静态工作点的调试方法及其对放大器性能的影响。 (3) 学习测量放大器Q点,A v,r i,r o的方法,了解共射极电路的特性。 (4) 学习放大器的动态性能。 1.2 实验仪器与设备 示波器,信号发生器,交流毫伏表,数字万用表,模拟/数字电路实验箱。 1.3 预习要求 (1) 熟悉分压式偏置放大器的工作原理,了解元器件参数对放大器性能的影响。 (2) 熟悉放大器的动态及静态测量方法。 1.4 实验内容与步骤 (一)、连接直流电路,测量静态工作点 1.连接直流电路 (1)用万用表判断实验元件(三极管、电解电容、电阻、电位器)及实验所用导线的好坏。 (2) 连接分压式偏置放大器的直流通路,电路如图1-1所示,将R W的阻值调到最大100K。 图1-1 分压式偏置单管放大器的直流通路

(3)调节直流稳压电源电压输出调节旋钮,使其输出+12V(方法:用万用表直流电压档监测直流稳压电源输出端口,调节旋钮使万用表显示+12 V) 2.调节静态工作点 接通稳压电源(方法:用红色导线连接直流稳压电源的正极与R W R C的公共点,用黑色导线连接直流稳压电源的负极与R B2 R E的公共点),调节R W使U CE=1/2 U CC,V BE=0.7V 测量晶体管各极对地电压U B、U C和U E,将测量结果和计算所得结果填入表1-1中。 U CE =U C-U E U BE =U B-U E I C = I E= U E /R E 表1-1 静态工作点实验数据 (二)、连接完整电路,测量动态参数 1.连接完整电路 图1-2 分压式偏置单管放大器原理图 注意:电解电容的极性。 3.电压放大倍数的测量 (1)接通函数信号发生器电源,调节函数信号发生器的频率调节旋钮和幅度调节旋钮,使函数信号发生器输出频率 f =1 kHz ,输出电压U S=10 mV (有效值)的交流信号(若输出不能达到10 mV,可调节输出衰减旋钮20~60 dB和幅度调节旋钮即可)。 注意:信号发生器输出交流信号的频率通过数码管显示即可读出来,输出交流信号的幅度必须使用晶体管毫伏表检测方可读出电压有效值。 (2)将信号发生器、示波器、晶体管毫伏表按图1-3接入。信号发生器的正极、示波

电力电子技术实验-打印的

电力电子技术实验-打印的-标准化文件发布号:(9456-EUATWK-MWUB-WUNN-INNUL-DDQTY-KII

实验一单结晶体管触发电路实验 一、实验目的 (1) 熟悉单结晶体管触发电路的工作原理及各元件的作用。 (2) 掌握单结晶体管触发电路的调试步骤和方法。 序号型号备注 1 DJK01 电源控制屏该控制屏包含“三相电源输出” 等几个模块。 2 DJK0 3 晶闸管触发电路该挂件包含“单结晶体管触发电 路”等模块。 3 双踪示波器自备 图1-8 单结晶体管触发电路原理图 由同步变压器副边输出60V的交流同步电压,经VD1半波整流,再经稳压管V1、V2进行削波,从而得到梯形波电压,其过零点与电源电压的过零点同步,梯形波通过R7及等效可变电阻V5向电容C1充电,当充电电压达到单结晶体管的峰值电压Up时,单结晶体管V6导通,电容通过脉冲变压器原边放电,脉冲变压器副边输出脉冲。同时由于放电时间常数很小,C1两端的电压很快下降到单节晶体管的谷点电压Uv使V6关断,C1再次充电,周而复始,在电容c1两端呈现锯齿波形,在脉冲变压器副边输出尖脉冲。在一个梯形波周期内,V6可能导通、关断多次,但对晶闸管的触发只有第一个输出脉冲起作用。电容C1的充电时间常数由等效电阻等决定,调节RP1改变C1的充电时间,控制第一个尖脉冲的出现时刻,实现脉冲的移相控制。单结晶体管触发电路的个点波形略。 四、实验内容 (1) 单结晶体管触发电路的调试。

(2) 单结晶体管触发电路各点电压波形的观察。 五、思考题 (1) 单结晶体管触发电路的振荡频率与电路中 C1 的数值有什么关系 答:在一个梯形波周期内,V6可能导通、关断多次,但对晶闸管的触发只有 第一个输出脉冲起作用。电容C1的充电时间常数由等效电阻等决定,调节RP1 改变C1的充电时间,控制第一个尖脉冲的出现时刻,实现脉冲的移相控制。(2) 单结晶体管触发电路的移相范围能否达到180° 答:能 六、实验方法 (1) 单结晶体管触发电路的观测 将 DJK01 电源控制屏的电源选择开关打到“直流调速”侧 , 使输出线 电压为 200V (不能打到“交流调速”侧工作,因为 DJK03 的正常工作电源电压为220V ± 10% ,而“交流调速”侧输出的线电压为 240V 。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“ DZSZ-1 型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到 220V 左右,然后才能将电源接入挂件),用两根导线将 200V 交流电压接到 DJK03 的“外接220V ”端,按下“启动”按钮,打开 DJK03 电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察单结晶体管触发电路,经半波整流后“ 1 ”点的波形,经稳压管削波得到“ 2 ”点的波形,调节移相电位器 RP1 ,观察“ 4 ”点锯齿波的周期变化及“ 5 ”点的触发脉冲波形;最后观测输出的“ G 、K ”触发电压波形,其能否在30° ~ 170° 范围内移相 (2) 单结晶体管触发电路各点波形的记录

2011.12.30(修改)电路与模拟电子技术实验指导书

电路与模拟电子技术 实验指导书 王凤歌 (修改于2011.12.30) 1

实验一直流网络定理 一、实验目的 1、加深对基尔霍夫和迭加原理的内容和适用范围的理解。 2、用实验方法验证戴维南定理的正确性。 3、学习线性含源一端口网络等效电路参数的测量方法。 4、验证功率输出最大条件。 二、实验属性(验证性) 三、实验仪器设备及器材 1、电工实验装置(DG011T、DY031T、DG053T) 2、电阻箱 四、实验要求 1. 所有需要测量的电压值,均以电压表测量的读数为准,不以电源表盘指示值为准。 2. 防止电源两端碰线短路。 3. 若用指针式电流表进行测量时,要识别电流插头所接电流表时的“ +、-”极性。倘若不换接极性,则电表指针可能反偏(电流为负值时),此时必须调换电流表极性,重新测量,此时指针可正偏,但读得的电流值必须冠以负号。 4.用电流插头测量各支路电流时,应注意仪表的极性,及数据表格中“ +、-”号的记录。 五、实验原理 1、基尔霍夫定律是集总电路的基本定律。它包括电流定律和电压定律。 基尔霍夫电流定律:在集总电路中,任何时刻,对任一节点,所有支路电流的代数和恒等于零。即 ∑I = 0 基尔霍夫电压定律:在集总电路中,任何时刻,沿任一回路内所有支路或元件电压的代数和恒等于零。即 ∑U = 0 2、迭加原理是线性电路的一个重要定理。 独立电源称为激励,由它引起的支路电压、电流称为响应,则迭加原理可简述为:在任意线性网络中,多个激励同时作用时,总的响应等于每个激励单独作用时引起的响应之和。 3、戴维南定理指出,任何一个线性含源一端口网络,对外部电路而言,总可以用一个理想电压源和电阻相串联的有源支路来代替,如图1-1所示,其理想电压源的电压等于原网络端口的开路电压U OC,其电阻等于原网络中所有独立电源为零值时的入端等效电阻R0。 图1-1 2

数字电子技术实验指导书

数字电子技术实验指导书 (韶关学院自动化专业用) 自动化系 2014年1月10日 实验室:信工405

数字电子技术实验必读本实验指导书是根据本科教学大纲安排的,共计14学时。第一个实验为基础性实验,第二和第七个实验为设计性实验,其余为综合性实验。本实验采取一人一组,实验以班级为单位统一安排。 1.学生在每次实验前应认真预习,用自己的语言简要的写明实验目的、实验原理,编写预习报告,了解实验内容、仪器性能、使用方法以及注意事项等,同时画好必要的记录表格,以备实验时作原始记录。教师要检查学生的预习情况,未预习者不得进行实验。 2.学生上实验课不得迟到,对迟到者,教师可酌情停止其实验。 3.非本次实验用的仪器设备,未经老师许可不得任意动用。 4.实验时应听从教师指导。实验线路应简洁合理,线路接好后应反复检查,确认无误时才接通电源。 5.数据记录 记录实验的原始数据,实验期间当场提交。拒绝抄袭。 6.实验结束时,不要立即拆线,应先对实验记录进行仔细查阅,看看有无遗漏和错误,再提请指导教师查阅同意,然后才能拆线。 7.实验结束后,须将导线、仪器设备等整理好,恢复原位,并将原始数据填入正式表格中,经指导教师签名后,才能离开实验室。

目录实验1 TTL基本逻辑门功能测试 实验2 组合逻辑电路的设计 实验3 译码器及其应用 实验4 数码管显示电路及应用 实验5 数据选择器及其应用 实验6 同步时序逻辑电路分析 实验7 计数器及其应用

实验1 TTL基本逻辑门功能测试 一、实验目的 1、熟悉数字电路试验箱各部分电路的基本功能和使用方法 2、熟悉TTL集成逻辑门电路实验芯片的外形和引脚排列 3、掌握实验芯片门电路的逻辑功能 二、实验设备及材料 数字逻辑电路实验箱,集成芯片74LS00(四2输入与非门)、74LS04(六反相器)、74LS08(四2输入与门)、74LS10(三3输入与非门)、74LS20(二4输入与非门)和导线若干。 三、实验原理 1、数字电路基本逻辑单元的工作原理 数字电路工作过程是数字信号,而数字信号是一种在时间和数量上不连续的信号。 (1)反映事物逻辑关系的变量称为逻辑变量,通常用“0”和“1”两个基本符号表示两个对立的离散状态,反映电路上的高电平和低电平,称为二值信息。(2)数字电路中的二极管有导通和截止两种对立工作状态。三极管有饱和、截止两种对立的工作状态。它们都工作在开、关状态,分别用“1”和“0”来表示导通和断开的情况。 (3)在数字电路中,以逻辑代数作为数学工具,采用逻辑分析和设计的方法来研究电路输入状态和输出状态之间的逻辑关系,而不必关心具体的大小。 2、TTL集成与非门电路的逻辑功能的测试 TTL集成与非门是数字电路中广泛使用的一种逻辑门。实验采用二4输入与非门74LS20芯片,其内部有2个互相独立的与非门,每个与非门有4个输入端和1个输出端。74LS20芯片引脚排列和逻辑符号如图2-1所示。

电力电子技术仿真实验指导书

《电力电子技术实验》指导书 合肥师范学院电子信息工程学院

实验一电力电子器件 仿真过程: 进入MATLAB环境,点击工具栏中的Simulink选项。进入所需的仿真环境,如图所示。点击File/New/Model新建一个仿真平台。点击左边的器件分类,找到Simulink和SimPowerSystems,分别在他们的下拉选项中找到所需的器件,用鼠标左键点击所需的元件不放,然后直接拉到Model平台中。 图 实验一的具体过程: 第一步:打开仿真环境新建一个仿真平台,根据表中的路径找到我们所需的器件跟连接器。

提取出来的器件模型如图所示: 图 第二步,元件的复制跟粘贴。有时候相同的模块在仿真中需要多次用到,这时按照常规的方法可以进行复制跟粘贴,可以用一个虚线框复制整个仿真模型。还有一个常用方便的方法是在选中模块的同时按下Ctrl键拖拉鼠标,选中的模块上会出现一个小“+”好,继续按住鼠标和Ctrl键不动,移动鼠标就可以将模块拖拉到模型的其他地方复制出一个相同的模块,同时该模块名后会自动加“1”,因为在同一仿真模型中,不允许出现两个名字相同的模块。 第三步,把元件的位置调整好,准备进行连接线,具体做法是移动鼠标到一个器件的连接点上,会出现一个“十字”形的光标,按住鼠标左键不放,一直到你所要连接另一个器件的连接点上,放开左键,这样线就连好了,如果想要连接分支线,可以要在需要分支的地方按住Ctrl键,然后按住鼠标左键就可以拉出一根分支线了。 在连接示波器时会发现示波器只有一个接线端子,这时可以参照下面示波器的参数调整的方法进行增加端子。在调整元件位置的时候,有时你会遇到有些元件需要改变方向才更方便于连接线,这时可以选中要改变方向的模块,使用Format菜单下的Flip block 和Rotate

模拟电子技术实验报告

姓名:赵晓磊学号:1120130376 班级:02311301 科目:模拟电子技术实验B 实验二:EDA实验 一、实验目的 1.了解EDA技术的发展、应用概述。 2. 掌握Multisim 1 3.0 软件的使用,完成对电路图的仿真测试。 二、实验电路

三、试验软件与环境 Multisim 13.0 Windows 7 (x64) 四、实验内容与步骤 1.实验内容 了解元件工具箱中常用的器件的调用、参数选择。 调用各类仿真仪表,掌握各类仿真仪表控制面板的功能。 完成实验指导书中实验四两级放大电路实验(不带负反馈)。 2.实验步骤 测量两级放大电路静态工作点,要求调整后Uc1 = 10V。 测定空载和带载两种情况下的电压放大倍数,用示波器观察输入电压和输出电压的相位关系。 测输入电阻Ri,其中Rs = 2kΩ。 测输出电阻Ro。 测量两级放大电路的通频带。 五、实验结果 1. 两级放大电路静态工作点 断开us,Ui+端对地短路

2. 空载和带载两种情况下的电压放大倍数接入us,Rs = 0 带载: 负载: 经过比较,输入电压和输出电压同相。 3. 测输入电阻Ri Rs = 2kΩ,RL = ∞ Ui = 1.701mV

Ri = Ui/(Us-Ui)*Rs = 11.38kΩ 4. 测输出电阻Ro Rs = 0 RL = ∞,Uo’=979.3mV RL = 4.7kΩ,Uo = 716.7mV Ro = (Uo’/Uo - 1)*R = 1.72kΩ 5. 测量两级放大电路的通频带电路最大增益49.77dB 下限截止频率fL = 75.704Hz 上限截止频率fH = 54.483kHz 六、实验收获、体会与建议

电力电子技术实验(课程教案)

课程教案 课程名称:电力电子技术实验 任课教师:张振飞 所属院部:电气与信息工程学院 教学班级:电气1501-1504班、自动化1501-1504自动化卓越1501 教学时间:2017-2018学年第一学期 湖南工学院

课程基本信息

1 P 实验一、SCR、GTO、MOSFET、GTR、IGBT特性实验 一、本次课主要内容 1、晶闸管(SCR)特性实验。 2、可关断晶闸管(GTO)特性实验(选做)。 3、功率场效应管(MOSFET)特性实验。 4、大功率晶体管(GTR)特性实验(选做)。 5、绝缘双极性晶体管(IGBT)特性实验。 二、教学目的与要求 1、掌握各种电力电子器件的工作特性测试方法。 2、掌握各器件对触发信号的要求。 三、教学重点难点 1、重点是掌握各种电力电子器件的工作特性测试方法。 2、难点是各器件对触发信号的要求。 四、教学方法和手段 课堂讲授、提问、讨论、演示、实际操作等。 五、作业与习题布置 撰写实验报告

2 P 一、实验目的 1、掌握各种电力电子器件的工作特性。 2、掌握各器件对触发信号的要求。 二、实验所需挂件及附件 三、实验线路及原理 将电力电子器件(包括SCR、GTO、MOSFET、GTR、IGBT五种)和负载 电阻R串联后接至直流电源的两端,由DJK06上的给定为新器件提供触 发电压信号,给定电压从零开始调节,直至器件触发导通,从而可测得 在上述过程中器件的V/A特性;图中的电阻R用DJK09 上的可调电阻负 载,将两个90Ω的电阻接成串联形式,最大可通过电流为1.3A;直流电 压和电流表可从DJK01电源控制屏上获得,五种电力电子器件均在DJK07 挂箱上;直流电源从电源控制屏的输出接DJK09上的单相调压器,然后 调压器输出接DJK09上整流及滤波电路,从而得到一个输出可以由调压 器调节的直流电压源。 实验线路的具体接线如下图所示:

模拟电子技术实验

实验一共射极单管放大电路的研究 1. 实验目的 (1)学会放大器静态工作点的调试方法,分析静态工作点对放大器性能的影响; (2)掌握放大器电压放大倍数、输入电阻、输出电阻及最大不失真输出电压的测试方法; (3)熟悉常用电子仪器及模拟电路实验设备的使用。 2. 实验设备与器材 实验所用设备与器材见表1.1。 表1.1 实验4.1的设备与器材 序号名称型号与规格数量备注 1 实验台1台 2 双踪示波器0~20M 1台 3 电子毫伏表1只 4 万用表1只 5 三极管1只 6 电阻1kΩ/0.25W 1只R e 7 电阻 2.4kΩ/0.25W 2只R S、R c、R L 8 电阻20kΩ/0.25W 1只R b1、R b2 9 电阻500kΩ/0.25W 1只R b2 10 铝电解电容10μF/25V 2只C1、C2 11 铝电解电容50μF/25V 1只C e 3. 实验电路与说明 实验电路如图1.1所示,为电阻分压式工作点稳定单管放大器实验电路图。它的偏置电路采用R B1和R B2组成的分压电路,并在发射极中接有电阻R E,以稳定放大器的静态工作点。当在放大器的输入端加入输入信号u i后,在放大器的输出端便可得到一个与u i相位相反,幅值被放大了的输出信号u0,从而实现了电压放大。安装电路时,要注意电解电容极性、直流电源正负极和信号源的极性。 图1.1 共射极单管放大器实验电路

I c/mA U ce/V u0波形失真情况管子工作状态 2.0 (5) 测量最大不失真输出电压的幅度 置R C=2.4kΩ,R L=2.4kΩ,调节信号发生器输出,使U s逐渐增大,用示波器观察输出信号的波形。直到输出波形刚要出现失真而没有出现失真时,停止增大U s,这时示波器所显示的正弦波电压幅度,就是放大电路的最大不失真输出电压幅度,将该值记录下来。然后继续增大U s,观察输出信号波形的失真情况。 5. 实验总结与分析 (1)用理论分析方法计算出电路的静态工作点,填入表1.2中,再与测量值进行比较,并分析误差的原因。 (2)通过电路的动态分析,计算出电路的电压放大倍数,包括不接负载时的A u、A us以及接上负载时的A u、A us。将计算结果填入表1.3中,再与测量值进行比较,并分析产生误差的原因。 (3)回答以下问题: ①放大电路所接负载电阻发生变化时,对电路的电压放大倍数有何影响? ②怎样用测量信号电压的方法来测量放大电路的输入电阻和输出电阻? (4)心得体会与其他。

浙大电力电子技术实验在线课后复习

您的本次作业分数为:98分单选题 1.【全部章节】三相桥式全控整流电路电感性负载实验中,关于整流电压ud描述正确的是? ? A 一个周期内,整流电压ud由6个波头组成 ? B 触发角为30°时,整流电压ud会出现瞬时值为零的点 ? C 移相范围是60° ? D 触发角为60°时,整流电压ud平均值为零 ? 单选题 2.【全部章节】自关断器件及其驱动与保护电路实验中,PWM信号占空比与直流电动机电枢电压及转速关系是? ? A 占空比越大,电枢电压越大,转速越小 ? B 占空比越大,电枢电压越小,转速越大 ? C 占空比越大,电枢电压越大,转速越大

? D 占空比越小,电枢电压越大,转速越大 ? 单选题 3.【全部章节】单相桥式半控整流电路实验中,能够用双踪示波器同时观察触发电路与整流电路波形?为什么? ? A 能 ? B 不能,因为示波器两个探头地线必须接在等电位的位置上 ? C 不能,因为示波器量程不足以观察整流电路波形 ? D 不能,因为示波器无法同时观察低压与高压信号 ? 单选题 4.【全部章节】关于锯齿波同步移相触发器描述错误的是

? A 多个触发器联合使用可以提供间隔60°的双窄脉冲? B 可以提供强触发脉冲 ? C 有同步检测环节,用于保证触发电路与主电路的同步? D 移相范围为30°到150° ? 单选题 5.【全部章节】关于“单管整流”现象的描述,错误的是? A 输出电流为单向脉冲波,含有很大的直流分量 ? B “单管整流”会危害电机、大电感性质的负载 ? C 此时电路中只有一个晶闸管导通 ? D 只在负载功率因数角小于触发角时出现 ?

模拟电子实验思考题及答案 学时

设备的使用 1、示波器的使用 0-20MHz范围内的信号都可测量。 三个校准旋钮顺时针拧到底; 五个按钮全要释放; 触发源要与输入通道一致;双通道输入时(DUAL),则触发源CH1和CH2都可; “LEVEL”旋钮的使用(波形水平移动,不稳定时); “垂直衰减旋钮”要合适,尤其是数值和波形的幅值相比小太多时,波形太大,出了屏幕,会看不到波形; Y轴校准方法; DC和AC档位的区别。 2、交流毫伏表的使用 测量10-2MHz正弦信号的有效值。频带比示波器小,比万用表大。 一定要选择合适的量程,否则误差大。比如:正弦信号Ui=1V,要选3V量程档,用30V的话,误差大! 数字万用表 万用表 3、数字 测直流电压、电流信号,电阻值。 测交流信号不如交流毫伏表精度高,模拟电子技术实验室的交流信号有效值都用交流毫伏表测量! 4、模拟万用表 在本实验室只用于单管放大时测静态工作点的电流I B和I C。 5、信号发生器 正弦信号输入是有效值,切记!要注意分清题目给的条件是指正弦信号的有效值(示例Ui =1V)和最大值(示例Ui m=1V)。 6、集成运算放大器的使用 +12V、地、-12V这三个电源必须接上,运放才能工作。同时注意要打开电源开关。

输入信号不是电源,切记! 共地:“输入信号的地”、“示波器的地”一定要和“电源的地”可靠地接在一起。 开环过零检查运放的好坏。 比例运算电路要闭环调零减少误差。 实验板 7、单管放大电路 单管放大电路实验板 +12V和地要可靠连接; 共地:“输入信号的地”、“示波器的地”一定要和“电源的地”可靠地接在一起。 线要连好,不要落了接某些线。

15电力电子实验指导书

《电力电子技术》 实 验 指 导 书

实验一锯齿波同步移相触发电路实验 一、实验目的 (1)加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 (2)掌握锯齿波同步移相触发电路的调试方法。 二、实验所需挂件及附件 三、实验线路及原理 锯齿波同步移相触发电路的原理图参见挂件说明。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见挂件说明和电力电子技术教材中的相关内容。 四、实验内容 (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 五、预习要求 (1)阅读电力电子技术教材中有关锯齿波同步移相触发电路的内容,弄清锯齿波同步移相触发电路的工作原理。 (2)掌握锯齿波同步移相触发电路脉冲初始相位的调整方法。 六、思考题 (1)锯齿波同步移相触发电路有哪些特点? (2)锯齿波同步移相触发电路的移相范围与哪些参数有关? (3)为什么锯齿波同步移相触发电路的脉冲移相范围比正弦波同步移相触发电路的移相范围要大? 七、实验方法 (1)将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为

220V 10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽 度,并比较“3”点电压U 3和“6”点电压U 6 的对应关系。 (2)调节触发脉冲的移相范围 将控制电压U ct 调至零(将电位器RP2顺时针旋到底),用示波器观察同步电压 信号和“6”点U 6的波形,调节偏移电压U b (即调RP3电位器),使α=170°,其波 形如图2-1所示。 图2-1锯齿波同步移相触发电路 (3)调节U ct (即电位器RP2)使α=60°,观察并记录U 1 ~U 6 及输出“G、K” 脉冲电压的波形,标出其幅值与宽度,并记录在下表中(可在示波器上直接读出,读数时应将示波器的“V/DIV”和“t/DIV”微调旋钮旋到校准位置)。 (4)

电力电子技术实验指导书

景德镇陶瓷学院 机械电子工程学院 电子电子技术 实验指导书 专业:自动化 实验室:A1栋408 二零一五年六月制 实验一单结晶体管触发电路及单相半波可控整流电 路实验 一.实验目的 1.熟悉单结晶体管触发电路的工作原理及各元件的作用。 2.掌握单结晶体管触发电路的调试步骤和方法。 3.对单相半波可控整流电路在电阻负载及电阻电感负载时工作情况作全面分析。 4.了解续流二极管的作用。

二.实验内容 1.单结晶体管触发电路的调试。 2.单结晶体管触发电路各点波形的观察。 3.单相半波整流电路带电阻性负载时特性的测定。 4.单相半波整流电路带电阻—电感性负载时,续流二极管作用的观察。 三.实验线路及原理 将单结晶体管触发电路的输出端“G”“K”端接至晶闸管VT1的门阴极,即可构成如图4-1所示的实验线路。 四.实验设备及仪器 1.MCL系列教学实验台主控制屏 2.MCL—18组件(适合MCL—Ⅱ)或MCL—31组件(适合MCL—Ⅲ) 3.MCL—33(A)组件或MCL—53组件(适合MCL—Ⅱ、Ⅲ、Ⅴ)4.MCL—05组件或MCL—05A组件 5.MEL—03三相可调电阻器或自配滑线变阻器 6.二踪示波器 7.万用表 五.注意事项 1.双踪示波器有两个探头,可以同时测量两个信号,但这两个探头的地线都与示波器的外壳相连接,所以两个探头的地线不能同时接在某一电路的不同两点上,否则将使这两点通过示波器发生电气短路。为此,在实验中可将其中一根探头的地线取下或外包以绝缘,只使用其中一根地线。当需要同时观察两个信号时,必须在电路上找到这两个被测信号的公共点,将探头的地线接上,两个探头各接至信号处,即能在示波器上同时观察到两个信号,而不致发生意外。 2.为保护整流元件不受损坏,需注意实验步骤:

#电力电子技术实验一、二、三

实验一锯齿波同步触发电路实验 一、实验目的 1、加深理解锯齿波同步移相触发电路的工作原理及各元件的作用。 2、掌握锯齿波同步移相触发电路的调试方法。 二、实验主要仪器与设备: 三、实验原理 锯齿波同步移相触发电路的原理图如图1-1所示。锯齿波同步移相触发电路由同步检测、锯齿波形成、移相控制、脉冲形成、脉冲放大等环节组成,其工作原理可参见电力电子技术教材中的相关内容。 图1-1 锯齿波同步移相触发电路原理图 图1-1中,由V3、VD1、VD2、C1等元件组成同步检测环节,其作用是利用同步电压U T来控制锯齿波产生的时刻及锯齿波的宽度。由V1、V2等元件组成的恒流源电路,当V3截止时,恒流源对C2充电形成锯齿波;当V3导通时,电容C2通过R4、V3放电。调节电位器RP1可以调节恒流源的电流大小,从而改变了锯齿波的斜率。控制电压U ct、偏移电压U b 和锯齿波电压在V5基极综合叠加,从而构成移相控制环节,RP2、RP3分别调节控制电压U ct和偏移电压U b的大小。V6、V7构成脉冲形成放大环节,C5为强触发电容改善脉冲的前

沿,由脉冲变压器输出触发脉冲,电路的各点电压波形如图1-2所示。 本装置有两路锯齿波同步移相触发电路,I和II,在电路上完全一样,只是锯齿波触发电路II输出的触发脉冲相位与I恰好互差180°,供单相整流及逆变实验用。 电位器RP1、RP2、RP3均已安装在挂箱的面板上,同步变压器副边已在挂箱内部接好,所有的测试信号都在面板上引出。 图1-2 锯齿波同步移相触发电路各点电压波形(α=90°) 四、实验内容及步骤

1、实验内容: (1)锯齿波同步移相触发电路的调试。 (2)锯齿波同步移相触发电路各点波形的观察和分析。 2、实验步骤: (1) 将DJK01电源控制屏的电源选择开关打到“直流调速”侧,使输出线电压为200V(不能打到“交流调速”侧工作,因为DJK03-1的正常工作电源电压为220V±10%,而“交流调速”侧输出的线电压为240V。如果输入电压超出其标准工作范围,挂件的使用寿命将减少,甚至会导致挂件的损坏。在“DZSZ-1型电机及自动控制实验装置”上使用时,通过操作控制屏左侧的自藕调压器,将输出的线电压调到220V左右,然后才能将电源接入挂件),用两根导线将200V交流电压接到DJK03-1的“外接220V”端,按下“启动”按钮,打开DJK03-1电源开关,这时挂件中所有的触发电路都开始工作,用双踪示波器观察锯齿波同步触发电路各观察孔的电压波形。 ①同时观察同步电压和“1”点的电压波形,了解“1”点波形形成的原因。 ②观察“1”、“2”点的电压波形,了解锯齿波宽度和“1”点电压波形的关系。 ③调节电位器RP1,观测“2”点锯齿波斜率的变化。 ④观察“3”~“6”点电压波形和输出电压的波形,记下各波形的幅值与宽度,并比较“3”点电压U3和“6”点电压U6的对应关系。 (2)调节触发脉冲的移相范围 将控制电压U ct调至零(将电位器RP2顺时针旋到底),用示波器观察同步电压信号和“6”点U6的波形,调节偏移电压U b(即调RP3电位器),使α=170°,其波形如图1-3所示。 图1-3锯齿波同步移相触发电路 (3)调节U ct(即电位器RP2)使α=60°,观察并记录U1~U6及输出“G、K”脉冲电压的波形,标出其幅值与宽度,并记录在下表中(可在示波器上直接读出,读数时应将示波器的“V/DIV”和“t/DIV”微调旋钮旋到校准位置)。

相关文档
最新文档