DAC0832数模转换实验报告131219x

DAC0832数模转换实验报告131219x
DAC0832数模转换实验报告131219x

实验报告

基于DAC0832的数模转换

一、实验目的

1.学习单片机控制技术----用单片机控制外部数模转换设备,实现D/A 转换;

2.熟悉DAC0832芯片的内部结构、引脚功能、各种工作方式下的工作时序;

3.熟悉并掌握51单片机系统硬件电路的基本工作原理,并学习硬件电路设计;

4.学习C51单片机编程、调试方法。

二、实验任务

利用51单片机控制DAC0832生成正弦波电压输出。

三、实验器材

C51单片机 一块

DAC0832 一块 LM324 一块 单孔板 一块 导线 若干 直流稳压电源 一台 示波器 一台

四、实验原理

1. 系统方案确立 1)硬件电路工作原理

图4-1 信号发生器的硬件框图

MCU 作为单片机微处理系统,通过Keyboard 输入可以产生正弦波数字信号的程序,使MCU 输出正弦波数字信号,通过DAC0832数模转换,变成正弦波的模拟信号,用示波器显示出来。如图4-1所示。

Display

MCU Keyboard

DAC0832

2)程序工作流程

图4-2 信号发生器的程序流程图

2. 硬件电路设计

1)单片机最小系统的组成

单片机最小系统是指用最小元件组成的单片机工作系统。对MCS-51系列单片机来说,其内部已经包含了一定数量的程序存储器和数据存储器,在外部只要增加时钟电路和复位电路即可构成单片机最小系统。下图所示便是MCS-51系列单片机最小系统电路,由单片机芯片和典型的时钟电路和复位电路构成。

图4-3

典型的时钟电路大多采用内部时钟方式,晶振一般在1.2~12MHz 之间,甚至可达到24MHz 或更

高,频率越高,单片机处理速度越快,但功耗也就越大,一般采用11.0592MHz 的石英晶振。与晶振

相位复0

D/A 芯片初始化

Y

相位>=2π?

N

i++

相幅转换 Y

N

幅度D/A 转换输出

定时器初始化 time 0=0 置定时到标志

T0重赋值

返回

并联的两个电容1C 、2C 通常为30pF 左右,对频率有微调作用。需要注意的是,在设计单片机系统的印刷电路板(PCB )时,晶振和电容应尽可能与单片机芯片靠近,以减少引线的寄生电容,保证振荡器可靠工作。

典型的复位电路大多采用上电自动复位和按键手动复位组合电路,电容3C 的大小直接影响单片机的复位时间,电容值越大,复位时间越短,一般3C 为10~30uF 。 2)数模转换工作电路的设计

我们选中DAC0832进行DA 变换。但DAC0832输出的是电流,我们要输出电压信号。因此我们选用如图4-4 工作电路。

图4-4

两级运用放大解决输出双极性电压的问题。输出电流1out I 经放大,输出电压:

32

113211?)-?(=?)+(-=R R V R R I R R V R U U REF fb out REF

o OUT

V ref :DAC0832的参考电压(通常为+5V)

R 2与R 3的比值确定输出电压的偏移范围;R 1与R 3的比值确定输出电压的大小。

DAC0832与MCS-51单片机的电路连接如图4-5 所示。我们选择单片机的P2口为数字量的输出口,

同时DAC0832采取直通转换模式,即输入寄存器和DAC 寄存器为直通模式,数字量从单片机P2口直接接到DA 转换器进行转换。

图4-5

3. 软件设计

1)定时器的设定

本系统输出正弦波周期为20mS 。用查表法实现正弦波的相幅转换,再将幅度值经DA 转换、低通滤波,生成连续变化的正弦波电压。

正弦波相位等分为1/250,因此步进时间为80μS 。我们选T0定时产生该步进时钟,设计如下。

我们用的MCS-51单片机是的频率是11.0592MHz 的,并且是用16位的计数器,用定时器T0进行计数。所以根据实验原理里写的初值计算公式可得我们需要的初值X 为:

272.65462=10×8012

10

×0592.112=122=66

16---T f X osc N

转换为16进制为 FFB6H 。所以对定时器进行赋值TH0=0xff,TL0=0xb6。

因为选用时T0的计时器,并且是16位,所以TMOD 控制寄存器中的低4位:GATE=0,

T C /=0,M1 M0=01,所以TMOD=0000 0001,转换为16进制为0x01。

与此同时,TCON 控制寄存器中的TR0=1。

定时步进由T0中断控制。所以中断允许寄存器IE 中的总中断控制EA=1,同时也要开启T0中断,即ET0=1。

以上设置在系统初始化中完成。

2)相幅转换

由于在程序中,我们是用查表法来输出正弦波数字信号,250个数字量为一个正弦波周期2π,这就说明表中的每个数字量有其与之对应的正弦波周期中的某个相位。所以,每两个数字量之间的相位为2π/250,只要每经过一个80μS 定时中断,其相位值便增加2π/250;与此同时,其中相位对应的数字量电压值也在发生变化,这就实现了正弦波中相位和幅度的转换。然后经过250个中断后,相位便从0开始,按每次2π/250继续累加到2π,进行重复循环。最后通过DA 转换输出模拟量的电压值,变可在示波器上显示出完整的正弦波模拟信号。

五、试验步骤

1、按照实验内容中的电路原理图进行单片机最小系统的建立和DAC0832和运放电路在单孔版上的焊接。对于电路图中的参数,我们选择:

单片机晶振电路:pF C C 6021==, Y1=12MHz

单片机复位电路:Ω=Ω

==k 2.8200,10213R R uF C , LM324运放电路:Ω=Ω==k R k R R 1,2453 2、对于DAC0832各引脚的电平高低情况应定为:

ALE 、cc V 、ref V 接高电平 +5V

DGND AGND XFER WR WR CS 、、、、、21 接低电平

3、对于LM324,我们需要在运放器的两端接高低电平,所以我们选择V 5±的直流电源。

4、把上面写的软件程序导入Keil 中,生成 .hex 文件,传输到MCS-51的单片机中。

5、然后LM324的输出端连接到示波器上,准备显示。

6、按照电路图中的线路,用导线连接C51单片机、DAC0832之间的数据线。准备上电实验。

7、对各芯片供电,打开示波器,观察输出波形。

六、实验数据与分析

按照实验步骤进行实验,我们可以看到在示波器上显示出正弦波信号,如图4-6所示。 可以看见示波器上显示的周期为ms 3.18=实T ,而理论上的周期为ms 20250us 80=×=理T 。只所以产生这种原因,是因为单片机的频率为11.0592MHz 。

所以这个实验大致上是正确的,有理有据的。

图4-6

七、实验感受

通过这次实验,我们对于MCS-51系列单片机有了初步的认识和理解,并且通过和DAC0832在一起,使我们对于I/O接口方面的知识也有了很好的应用。同时对DAC0832芯片的结构、性能、工作原理我们是完全的掌握了,这样我们可以通过DAC0832进行其他的一系列实验。再者,运放的基本原理及公式也可以让我们能更加灵活的对复杂电路进行转化。与此同时,我们的动手能力也有了很大的提高,对于电路的排版、搭配也有了很清晰的认识。总之,这次实验使我们的收获很大,理论和实际相结合,对知识也有了更深刻的理解和知新。

附录

1.程序

#include

#include

#define uint unsigned int

#define uchar unsigned char

bit time;

unsigned char sin(unsigned char x)

{

unsigned char code sin_tab[]={

128,131,134,138,141,144,147,150,153,156,159,162,165,168,171,174,177,180,182,185,188,191,193,196, 198,201,203,206,208,211,213,215,217,219,221,223,225,227,229,231,232,234,235,237,238,239,241,242, 243,244,245,246,247,248,249,250,251,252,253,254,254,255,255,254,254,253,252,251,250,249,248,247, 246,245,244,243,242,241,239,238,237,235,234,232,231,229,227,225,223,221,219,217,215,213,211,208, 206,203,201,198,196,193,191,188,185,182,180,177,174,171,168,165,162,159,156,153,150,147,144,141, 138,134,131,128,125,122,119,116,112,109,106,103,100, 97, 94, 91, 88, 85, 82, 79, 76, 73, 70, 68,

65, 62, 59, 57, 54, 52, 49, 47, 44, 42, 39, 37,35, 33, 31, 29, 27, 25, 27, 29, 27, 25, 23, 21,

19, 18, 16, 15, 13, 12, 11, 10, 9, 8, 7, 6, 5, 4, 3, 2, 2, 1, 1, 0, 0, 0, 1, 1 ,

2, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 15, 16, 18, 19, 21, 23, 25, 27, 29, 31, 33,

35, 37, 39, 42, 44, 47, 49, 52, 54, 57, 59, 62, 65, 68, 70, 73, 76, 79, 82, 85, 88, 91, 97,100,

103,106,109,112,116,119,122,125,128,131};

return sin_tab[x];

}

void DAC0832(unsigned char x)

{

P2=x;

}

void main()

{

unsigned char i;

TMOD = 0x01;//设置T0为工作方式1

TH0 =0xff;// (65536-1000)/256; //设定初值

TL0 = 0xb6;//(65536-1000)%256; //设定初值

TR0 = 1;//启动定时器0

EA=1;

ET0=1;

i=0;

time=0;

while(1)

{

if(time==1)

{

time=0;

if(i>248) i=0;

else i++;

DAC0832(sin(i));

}

}

}

void T0_time() interrupt 1

{

TH0 = 0xff;//(65536-1000)/256; //设定初值

TL0 = 0xb6;//(65536-1000)%256; //设定初值

time=1;

}

2. 主要器件简介

DAC0832工作原理

1)DAC0832的内部结构

DAC0832的内部结构如下图所示。由8位输入寄存器、8位DAC寄存器、8位D/A转换器以及控制逻辑电路组成,采用二次缓冲方式,这样可以在输出的同时,输入下一个数据,以提高转换速度。两个8位寄存器输出控制逻辑电路由三个与门组成,该逻辑电路的功能是进行数据锁存控制,当LE=0时,输入数据被锁存;当2

1

LE=1时,锁存器的输出跟随输入的数据。数据进入8位DAC寄存器,经8位D/A转换电路,就可以输出和数字量成正比的模拟输出电压。

图4-7

2)DAC0832的引脚功能

DI7~DI0:8位数字量输入线,TTL 电平,其作用为送需转换的数字量至DAC0832。 ILE :输入锁存允许信号,高电平有效。

CS :片选信号,低电平有效,与ILE 信号结合,可对1WR 是否起作用进行控制。

1WR :输入寄存器的写选通输入信号,低电平有效。当CS 、ILE 有效,且1WR =0时,为输入寄存器直通方式;当CS 、ILE 有效,且1WR =1时, DI7~DI0的数据被锁存至输入寄存器,为输入寄存器锁存方式。

XFER :数据传送控制信号,低电平有效,可作为地址线使用。

2WR :DAC 寄存器写选通输入信号,低电平有效。当2WR =0,XFER =0时,输入寄存器的内容传送至DAC 寄存器中;当2WR =0,XFER =1时,为DAC 寄存器直通方式;当2WR =1,XFER =0时,为DAC 寄存器锁存方式。

21out out I I 、:输出电流1、输出电流2。当输入数据为全“1”时,1out I 端电流最大,2out I 端电流最小;当输入数据全“0”时,1out I 端电流最小;1out I 端电流和2out I 端电流之和为一常数。 fb R :反馈电阻输入引脚,反馈电阻在芯片内部。

ref R :基准电压输入端,用作D/A 转换的基准电压,可在-10V ~+10V 范围内选取。 cc V :电源电压,可在+5V ~+15V 范围内选取,通常取+5V 。 AGND :模拟地。 DNGD :数字地。 3)DAC0832的工作方式

DAC8032利用1WR 、2WR 、XFER 、ILE 控制信号可以构成三种工作方式。

(1)直通方式:当1WR =2WR =0时,两个寄存器处于常通状态,数据可以直接经两个寄存器进入D/A 转换器进行转换。这种方式下,不能直接与系统的数据总线相连,需另外添加锁存器,所以很少使用。

(2)单缓冲方式:当1WR =0或2WR =0时,两个寄存器之一处于直通,而另一个寄存器处于受控状态。实际使用时,如果只有一路模拟量输出,或虽然有多路模拟量输出但不要求同步输出时,就可以采用单缓冲方式。

(3)双缓冲方式:两个寄存器都处于受控状态。这种方式使用于多路模拟量同步输出。 对于单缓冲方式,即两个寄存器中任意一个直通,另一个受控,有以下两种解法:

①ILE 接+5V ,CS 接地,XFER 接地址线(作为片选),8位输入寄存器直通,8位DAC 寄存器受控。 ②ILE 接+5V ,XFER 接地,CS 接地址线(作为片选),8位DAC 寄存器直通,8位输入寄存器受控。

表4-1 输入寄存器逻辑关系

CS 、

ILE 有效 01=WR 直通方式 11=WR

寄存器锁存方式

表4-2 DAC 寄存器逻辑关系

02=WR 1=XFER 寄存器直通方式DAC 12=WR 0=XFER 寄存器锁存方式DAC

02=WR 0=XFER

输入寄存器的内容送至DAC 寄存器

图4-8 0832引脚图 图4-9 51单片机引脚图

51单片机基本工作原理

1)C51单片机的引脚功能

80C51的40个引脚可分为:电源引脚2个,时钟引脚2个,控制引脚4个和I/O 引脚32个。这些引脚的功能分别介绍如下。

CC V :电源接入引线,接+5V 电源 ss V :接地引脚

XTAL1:接外部晶振和微调电容的一端。对CHMOS 型单片机,在使用外部时钟时,次引脚应接外部时钟的输入端。

XTAL2:接外部晶振和微调电容另一端。对CHMOS 型单片机,在使用外部时钟时,此引脚悬空。 RST/DP V :复位信号/备用电源输入引脚.当RST 引脚保持两个机器周期的高电平后,就可以使单片机复位。该引脚的第二功能使DP V ,即备用电源的输入端,具有掉电保护功能。若在该引脚接+5V 备用电源,在使用中主电源CC V 掉电,则可保护片内RAM 中的信息部丢失。

ALE/PROG :地址锁存允许信号输出/编程电压输入引脚。

当单片机上电正常工作后,ALE 端不断输出正脉冲信号,此信号频率为振荡器频率的1/6。当CPU 访问片外存储器时,ALE 输出控制信号锁存P0口输出的低8位地址,从而实现P0口数据与低位地址的分时复用。该引脚的第二功能是PROG ,当对87C51内部4KB EPROM 编程写入时,该引脚为编程脉冲输入端。

pp V EA /:内外ROM 选择/编程电压输入引脚。

当EA 接高电平时,CPU 执行片内ROM 指令,但当PC 值超过0FFFH 时,将自动转去执行片外ROM 指令;当EA 接低电平时,CPU 只执行片外ROM 指令。对于80C31,由于其无片内ROM ,故其EA 必须

接低电平。

该引脚的第二功能是pp V ,当对87C51片内EPROM 、89C51片内Flash ROM 编程写入时,该引脚为编程电压的输入引脚。

PSEN :片外ROM 读选通信号输出引脚。在读片外ROM 时,PSEN 有效,为低电平,以实现

对片外ROM 的读操作。

①P0.0~P0.7:P0口的8位双向I/O 口引脚。

P0口及可作为地址/数据总线使用,又可作为通用的I/O 口使用。当CPU 访问片外存储器时,P0口分时先作为低8位地址总线,后作为双向数据总线,此时P0口就不能再作I/O 口使用。

②P1.0~P1.7:P1口的8位准双向I/O 口引脚。P1口作为通用的I/O 口使用。 ③P2.0~P2.7:P2口的8位准双向I/O 口引脚。

P2口即可作为通用的I/O 口使用,也可作为片外存储器的高8位地址总线,与P0口配合,组成16位片外存储器单元地址。

④P3.0~P3.7:P3口的8位准双向I/O 口引脚。

P3口除了作为通用的I/O 口使用之外,每个引脚都具有第二功能,在实际工作中,大多数情况下都使用P3口的第二功能。

2)C51单片机的定时器/计数器

MCS-51系列单片机内部有两个定时器/计数器,即T0(P3.4)和T1(P3.5)。他们都是16位的加法计数器,可用于定时控制和对外部事件的计数。 (1)定时器工作方式

定时功能是通过计数器的计数实现的,每当输入引脚的脉冲发生负跳变时,计数器加1。但是计数脉冲来自单片机内部,即每个机器周期产生1个计数脉冲,也就是每经过1个机器周期的时间,计数值加1。

(2)定时器的结构

每个定时器有两个8位的寄存器。定时器/计数器T0由特殊功能寄存器TH0、TL0构成,定时器/计数器T1由特殊功能寄存器TH1、TL1构成,其中TH0、TL0、TH1和TL1都是8位 寄存器。

图4-10 51单片机定时/计数器结构原理

(3)定时器/计数器的工作原理

MCS-51系列单片机内部的两个16位可编程的定时器/计数器T0、T1均有计数和定时功能。他们的工作方式、定时时间和启动方式等均对相应的寄存器TMOD 、TCON 进行编程来实现,计数数值也是由指令对计数寄存器(TH0、TL0或TH1、TL1)来设置。T0、T1在选择计数器模式时,P3.4和P3.5这两个引脚分别作为两个计数器的计数输入端。每当输入引脚的脉冲发生“1“ “0”跳变时,计数器加“1”。T0、T1选择定时器模式时,计数器对内部机器周期进行计数。不管工作在哪种模式,计数产生了溢出之后,就会将相应的溢出标志置位;在中断允许的情况下,溢出后会产生中断。

(4)TMOD 控制寄存器和TCON 控制寄存器

MCS-51系列单片机的定时器/计数器有四种工作方式:方式0、方式1、方式2和方式3。定时器/计数器具体的工作模式和方式主要由TMOD 、TCON 控制寄存器来设置的,下面重点介绍8位寄存器TMOD 和TCON 。

①TMOD 控制寄存器

TMOD 寄存器用于选择定时器/计数器的工作模式和工作方式,它的字节地址为89H ,不能进行位寻址,其具体定义如下表示:整个8位分为2组,高4位控制T1,低4位控制T0。

表4-3 TMOD 寄存器各位定义

D7 D6 D5 D4 D3 D2 D1 D0 GATE T C / 1M 0M GATE T C /

1M 0M

表4-4 TMOD 寄存器各位功能说明

名称

功能说明

GATE 门控制 GATE=0,用运行控制位TRi(i=0,1)启动定时器

GATE=1,用外中断请求信号输入端INTi(i=0,1)和TRi(i=0,1)共同启动定时器

T C /

定时方式或计数模式选择位 T C /=0,定时工作模式 T C /=1,计数工作模式

M1 M0 工作方式选择位 M1M0=00方式,13位定时器/计数器 M1M0=01方式,16位定时器/计数器

M1M0=10方式,自动再装入的8位定时器/计数器

M1M0=11方式,仅适用于T0分成2个8位计数器,T1停止计数

②TCON 控制寄存器

TCON 寄存器的字节地址为88H ,可进行位寻址,位地址为88H ~8FH ,其具体定义如下图所示。

表4-5 TCON 寄存器各位定义

D7 D6 D5 D4 D3 D2 D1 D0 TF1 TR1 TF0 TR0 IE1 IT1 IE0 IT0 TCOM 寄存器低4位与外部中断有关,高4位与定时中断有关。

表4-6 TCON 寄存器高4位功能说明

名称 功能说明

TF1 T1计数溢出标志位。计数/计时溢出时,该位置“1”。在中断方式时,此位作中断标志位,在转向中断服务程序时由硬件自动清“0”。在查询方式时,也可以由程序查询和清“0”

TR1

定时器/计数器T1运行控制位 TR1=0,停止定时器/计数器1工作 TR1=1,启动定时器/计数器1工作 该位由软件置位和复位

TF0 T0计数溢出标志位。计数溢出时,该位置“1”。在中断方式时,此位作中断标志位,在转向中断服务程序时由硬件自动清“0”。在查询方式时,也可以由程序查询和清“0”

TR0

定时器/计数器T0运行控制位 TR0=0,停止定时器/计数器0工作 TR0=1,启动定时器/计数器0工作 该位由软件置位和复位

(5)定时器的初值计算

选择定时功能时,单片机内部提供计数脉冲,并对机器周期进行计数。假设T 表示定时时间,初值用X 表示,所用计数器的位数为N ,设系统时钟频率为osc f ,则他们满足

T f X osc

=×122N

)-(

T f X osc

N 122-

=

3)C51单片机中断的基本内容

图4-11 MCS-51中断系统结构

MCS-51系列单片机的中断系统有5个中断请求源,具有2个中断优先级,可实现两级中断服务程序嵌套。每一个中断源可以用软件独立地控制为允许中断或关中断状态;每一个中断源的中断级别均可用软件来设置。

(1) MCS-51系列单片机中断系统共有5个中断请求源,见下表 序号 中断引脚 中断请求 中断请求标志 1 0INT 引脚输入,由外部中断请求00INT

0IE

2 1INT 引脚输入,由外部中断请求INT1

1 1IE 3 0T 定时器/计数器T0溢出中断请求 TF0 4 1T 定时器/计数器T1溢出中断请求 TF1 5

TX/RX

串行口中断请求

TI/RI

这些中断请求源的中断请求标志位分别由特殊功能寄存器TCON 和SCON 的相应位锁存。

①特殊功能寄存器TCON 中的标志位

TCON 为定时器/计数器的控制寄存器,字节地址为88H ,可位寻址。该寄存器中既有定时器/计数器T0和T1的溢出中断请求标志位TF1和TF0,也有外部中断请求标志位IE1与IE0。其格式如下,

D7 D6 D5 D4 D3 D2 D1 D0

TCON

TF1

TR1 TF0 TR0 IE1 IT1 IE0 IT0 位地址 8FH

--

8DH

--

8BH

8AH

89H

88H

TCON 寄存器中与中断系统有关的各标志位的功能如下。 ①IT0 选择外部中断请求0为边沿触发方式还是电平触发方式。

IT0=0,为电平触发方式,加到引脚0INT 上的外部中断请求输入信号为低电平有效。

IT0=1,为边沿触发触发方式,加到引脚1INT 上的外部中断请求输入信号电平从高到低的副跳变有效。

IT0位可由软件置“1”或清“0”。

②IE0 外部中断请求0的中断请求标志位。

当IT0=0,为电平触发方式,CPU 在每个机器周期的S5P2采样0INT 引脚,若0INT 引脚为低电平,则将IE0置“1”,说明有中断请求,否则将IE0清“0”。

当IT0=1,即外部中断请求0设置为边沿触发方式,当第一个机器周期采样到0INT 为低电平时,则将IE0置“1”,IE0=1,表示外部中断0正在向CPU 请求中断。当CPU 响应该中断,转向中断服务程序时,由硬件将IE0清“0”。

③IT1 选择外部中断请求1为边沿触发还是电平触发,其意义与IT0类似。

④IE1 外部中断请求1的中断请求标志位。

⑤TF0 MCS-51单片机片内定时器/计数器T0溢出中断请求标志位。

当启动T0计数后,定时器/计数器T0从初值开始加“1”计数,当最高位产生溢出时,由硬件将TF0置“1”,向CPU申请中断,CPU响应TF0中断时,TF0清“0”,TF0也可由软件清“0”。

⑥TF1 MCS-51单片机片内的定时器/计数器T1溢出中断请求标志位,功能和TF0类似。

当MCS-51单片机复位后,TCON被清“0”,则CPU关中断,所有中断请求被禁止。

(2)中断控制

中断允许寄存器IE

MCS-51系列单片机的CPU对中断源的开放或屏蔽,是由片内的中断允许寄存器IE控制的。IE的字节地址为A8H,可进行位寻址,其格式如下:

D7 D6 D5 D4 D3 D2 D1 D0 IE EA -- -- ES ET1 EX1 ET0 EX0

位地址 AFH -- -- ACH ABH AAH A9H A8H

中断允许寄存器IE的格式

中断允许寄存器IE对中断的开放和关闭实现两级控制。所谓两级控制,就是有1个总的开关中断控制位EA,当EA=0时,所有的中断请求被屏蔽,CPU对任何中断请求都不接受,称CPU关中断;当EA=1,CPU开放中断。但5个中断源的中断请求是否允许,还要由IE中的低5位所对应的5个中断请求允许控制位的状态来决定。

MCS-51单片机复位以后,IE被清“0”,所有中断请求被禁止。由用户程序对IE相应的位置“1”或清“0”,即可允许或禁止各中断源地中断申请。若某一个中断源被允许中断,除了IE相应的位置“1”外,还必须使EA=1,即CPU开放中断。

LM324四运放芯片的基本内容

LM324系列器件带有差动输入的四运放放大器。与单电源应用场合的标准运算放大器相比,它们有一些显著优点。该四运放放大器可以工作在低到3.0伏或者搞到32伏的电源下,静态电流为MC1741的静态电流的五分之一。共模输入范围包括负电源,因而消除了在许多应用场合中采用外部偏置元件的必要性。“+”、“-”表示两个信号输入端,“V+”、“V-”为正、负电源端,“Vo”为输出端。其引脚如图4-12。

图4-12

1)LM324的特性参数输入偏移电压最大:7mv

运放类型:低功率运放特点:高增益频率补偿运算

增益宽带:1.2MHz 额定电源电压:+15V

电源电压:3V~32V

解读高速数模转换器(DAC)的建立和保持时间

解读高速数/模转换器(DAC)的建立和保持时间Oct 10, 2007 摘要:本应用笔记定义了高速数/模转换器(DAC)的建立和保持时间,并给出了相应的图例。高速DAC的这两个参数通常定义为“正、负”值,了解它们与数据瞬态特性之间的关系是一个难点,为了解决这些难题,本文提供了一些图例。 介绍 为了达到高速数/模转换器(DAC)的最佳性能,需要严格满足数字信号的时序要求。随着时钟频率的提高,数字接口的建立和保持时间成为系统设计人员需要重点关注的参数。本应用笔记对建立和保持时间进行详尽说明,因为这些参数与Maxim的高性能数据转换方案密切相关。 定义建立和保持时间 建立时间(t S)是相对于DAC时钟跳变,数据必须达到有效的逻辑电平的时间。保持时间(t H)则定义了器件捕获/采样数据后允许数据发生变化的时间。图1给出了相对于时钟上升沿的建立和保持时间。特定器件的时钟信号有效边沿可能是上升/下降沿,或由用户选择,例如MAX5895 16位、500Msps、插值和调制双通道DAC,CMOS输入。 图1. 相对于时钟信号上升沿的建立和保持时间 采用CMOS技术设计的数字电路通常将电源摆幅的中间值作为切换点。因此,时间参考点定在信号边沿的中点。图1波形标明了器件在典型条件下的建立和保持时间。注意此时定义的这两个参数均为正值,但在建立或保持时间出现负值时将会令人迷惑不解。 MAX5891 600Msps、16位DAC为这一中间值状态提供了很好的学习实例。该器件的建立时间为-1.5ns,而保持时间为2.6ns。图2给出MAX5891的最小建立时间。注意,实际应用中,数据通常在采样时钟跳变后发生变化。图3给出了相同器件的最小保持时间。

数模模数转换实验报告

数模模数转换实验报告 一、实验目的 1、了解数模和模数转换电路的接口方法及相应程序设计方法。 2、了解数模和模数转换电路芯片的性能和工作时序。 二、实验条件 1、DOS操作系统平台 2、数模转换芯片DAC0832和模数转换器ADC0809芯片。 三、实验原理 1、数模转换: (1)微机处理的数据都是数字信号,而实际的执行电路很多都是模拟的。因此微机的处理结果又常常需要转换为模拟信号去驱动相应的执行单元,实现对被控对象的控制。这种把数字量转换为模拟量的设备称为数模转换器(DAC),简称D/A。 (2)实验中所用的数模转换芯片是DAC0832,它是由输入寄存器、DAC 寄存器和D/A 转换器组成的CMOS 器件。其特点是片内包含两个独立的8 位寄存器,因而具有二次缓冲功能,可以将被转换的数据预先存在DAC 寄存器中,同时又采集下一组数据,这就可以根据需要快速修改DAC0832 的输出。 2、模数转换: (1)在工程实时控制中,经常要把检测到的连续变化的模拟信号,如温度、压力、速度等转换为离散的数字量,才能输入计算机进行处理。实现模拟量到数字量转换的设备就是模数转换器(ADC),简称A/D。

(2)模数转换芯片的工作过程大体分为三个阶段:首先要启动模数转换过程。其次,由于转换过程需要时间,不能立即得到结果,所以需要等待一段时间。一般模数转换芯片会有一条专门的信号线表示转换是否结束。微机可以将这条信号线作为中断请求信号,用中断的方式得到转换结束的消息,也可以对这条信号线进行查询,还可以采用固定延时进行等待(因为这类芯片转换时间是固定的,事先可以知道)。最后,当判断转换已经结束的时候,微机就可以从模数转换芯片中读出转换结果。 (3)实验采用的是8 路8 位模数转换器ADC0809 芯片。ADC0809 采用逐次比较的方式进行A/D 转换,其主要原理为:将一待转换的模拟信号与一个推测信号进行比较,根据推测信号是大于还是小于输入信号来决定增大还是减少该推测信号,以便向模拟输入逼近。推测信号由D/A 转换器的输出获得,当推测信号与模拟信号相等时,向D/A 转换器输入的数字就是对应模拟信号的数字量。ADC0809 的转换时间为64 个时钟周期(时钟频率500K 时为128S)。分辨率为 8 位,转换精度为±LSB/2,单电源+5V 供电时输入模拟电压范围为04.98V。 四、实验内容 1、把DAC0832 的片选接偏移为10H 的地址,使用debug 命令来测试 DAC0832 的输出,通过设置不同的输出值,使用万用表测量Ua 和Ub 的模拟电压,检验DAC0832 的功能。选取典型(最低、最高和半量程等)的二进制值进行检验,记录测得的结果。实验结果记录如下:

数模混合设计实验报告

数模混合设计 实验报告 作者:竹叶听筝 时间:2012年12月05日课程题目:声光报警系统

摘要:声光报警器在实际的生活中可以见到许多,运用于生活的许多方面。声光报警电路可作为防盗装置,在有情况时它通过指示灯闪光和蜂鸣器鸣叫,同时报警。声光报警器可用在危险场所,通过声音和光信号向人们发出示警信息。 Abstract: sound and light alarm can be seen in real life many, used in many aspects of life. Sound and light alarm circuit can be used as anti-theft device, when it lights flash and buzzer tweet, alarm at the same time. Sound and light alarms can be used in hazardous locations, issued a warning to people through sound and light signals. 关键词:报警器声音光信号示警 1、设计原理 根据设定的基准报警电压。当输入电压超出报警值时发出声和光报警信号。当输入电压信号减小恢复到报警值以下时,要求有一定的回程余量才能撤销报警信号。也就是要实现电压信号的迟滞比较功能。LED灯闪烁,蜂鸣器报警。 2、方案比较 方案一:通过单片机控制进行AD采样计算,当采样电压超过,设定输入电压时,通过单片机控制LED闪烁,蜂鸣器报警,当输入电压小于设定Vh电压时,单片机撤销报警信号。此方案性能稳定,思路清晰,但性价比不高,涉及微处理器,以及软件编程,开发难度较大。 方案二:采用LM311滞回比较器,比较输入电压值,当大于设定电压时,比较器输出端为高电平,通过光电耦合器,进行传递信号,通过555定时器输出1HZ频率脉冲,是LED灯闪烁,同时蜂鸣器报警,当输入电压小于阈值电压时,LM311输入低电平,撤销报警信号。此方案采用纯硬件方法实现神声光报警,具有成本低,调试容易且通过光耦合器进行数字电路和模拟电路的隔离,同样也具有较高的稳定性。三、系统总体方案描述

微机原理及其应用报告数模转换器DAC0832双缓冲输出设计

本科生实验报告 实验名称:数模转换器DAC0832双缓冲输出设计 一、实验目的 1)了解DAC0832芯片引脚、内部结构及工作原理; 2)掌握应用单片机I/O 端口控制DAC0832实现数模转换的方法; 3)掌握DAC0832单缓冲和双缓冲控制技术及编程设计方法; 二、实验原理 DAC0832是8位分辨率的数模转换集成芯片,内部采用倒T 形网络,电流型 输出模式,电流输出稳定时间为1us ,采用单电源供电。 片内部由一个8位输入锁存器、一个8位DAC 寄存器和一个8位D/A 转换器构成,内部具有双缓冲结构,可以实现单缓冲、双缓冲数字输入。 双缓冲同步控制方式 : 针对多个模拟量需要同时输出的控制系统,可以采用双缓冲同步控制方式。D/A 转换数据的输入锁存和D/A 转换输出分两步完成。首先,CPU 分时向各路D/A 转换器输入要转换的数字量并锁存在各自的输入锁存器中,然后,CPU 同时对所有D/A 转换器发出输入所存数据打入DAC 寄存器的控制信号,即可实现 VREF IOUT2 IOUT1 DGND VCC AGND RFB

多通道的同步模拟量数据输出。 应用双缓冲方式,可以在输出模拟信号的同时采集下一个数字量,有效地提高转换速度。另外,可以在多个D/A转换器同时工作时,利用双缓冲模式实现多路D/A的同步输出。 三、实验内容 通过单片机I/O端口控制两路DAC0832实现数模转换,控制方式采用双缓冲控制方式。 1.阅读理解双缓冲控制电路图,分析双缓冲模式下DAC0832与单片机接口电路的设计及两次DA转换实验在控制电路上的异同。 2.设计程序,实现双缓冲模式下DA转换的同步输出。 首先,CPU分时向各路D/A转换器输入要转换的数字量并锁存在各自的输入锁存器中,然后,通过按键控制,同时对两个DAC0832锁存数据进行数模转换,同步产生三角波、正弦波模拟输出信号。 四、实验过程 1,实验原理图 2,实验源程序 #include sbit DAC1_WR1=P2^0; sbit DAC2_WR1=P2^1; sbit DAC_SW1=P2^2; sbit DAC_SW2=P2^3;

电路综合设计实验-设计实验2-实验报告

设计实验2:多功能函数信号发生器 一、摘要 任意波形发生器是不断发展的数字信号处理技术和大规模集成电路工艺孕育出来的一种新型测量仪器,能够满足人们对各种复杂信号或特殊信号的需求,代表了信号源的发展方向。可编程门阵列(FPGA)具有高集成度、高速度、可重构等特性。使用FPGA来开发数字电路,可以大大缩短设计时间,减小印制电路板的面积,提高系统的可靠性和灵活性。 此次实验我们采用DE0-CV开发板,实现函数信号发生器,根据按键选择生产正弦波信号、方波信号、三角信号。频率范围为10KHz~300KHz,频率稳定度≤10-4,频率最小不进10kHz。提供DAC0832,LM358。 二、正文 1.方案论证 基于实验要求,我们选择了老师提供的数模转换芯片DAC0832,运算放大器LM358以及DE0-CV开发板来实现函数信号发生器。 DAC0832是基于先进CMOS/Si-Cr技术的八位乘法数模转换器,它被设计用来与8080,8048,8085,Z80和其他的主流的微处理器进行直接交互。一个沉积硅铬R-2R 电阻梯形网络将参考电流进行分流同时为这个电路提供一个非常完美的温度期望的跟踪特性(0.05%的全温度范围过温最大线性误差)。该电路使用互补金属氧化物半导体电

流开关和控制逻辑来实现低功率消耗和较低的输出泄露电流误差。在一些特殊的电路系统中,一般会使用晶体管晶体管逻辑电路(TTL)提高逻辑输入电压电平的兼容性。 另外,双缓冲区的存在允许这些DAC数模转换器在保持一下个数字词的同时输出一个与当时的数字词对应的电压。DAC0830系列数模转换器是八位可兼容微处理器为核心的DAC数模转换器大家族的一员。 LM358是双运算放大器。内部包括有两个独立的、高增益、内部频率补偿的双运算放大器,适合于电源电压范围很宽的单电源使用,也适用于双电源工作模式,在推荐的工作条件下,电源电流与电源电压无关。它的使用范围包括传感放大器、直流增益模块和其他所有可用单电源供电的使用运算放大器的场合。LM358的封装形式有塑封8引线双列直插式和贴片式。 本次实验选用的FPGA是Altera公司Cyclone系列FPGA芯片。Cyclone V系列器件延续了前几代Cyclone系列器件的成功,提供针对低成本应用的用户定制FPGA特性,支持常见的各种外部存储器接口和I/O协议,并且含有丰富的存储器和嵌入式乘法器,这些内嵌的存储器使我们在设计硬件电路时省去了外部存储器,节省了资源,而

单片机AD模数转换实验报告

一、实验目的和要求 1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时和查询的方法编写A/D转换程序。 5、启动A/D转换,将输入模拟量的转换结果在显示器上显示。 三、电路原理图。 图1、电路仿真图

四、实验程序流程框图和程序清单。 1、 查询法: ORG 0000H START: LJMP MAIN ORG 0100H MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB P3.3, LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: MOV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码 送位选信号延时1ms 熄灭第四位数码管 延时1ms 返回

数模转换器和模数转换器实验报告

实验报告 课程名称微机原理与接口技术 实验项目实验五 数/模转换器和模/数转换器实验实验仪器 TPC-USB通用微机接口实验系统 系别计算机系 专业网络工程 班级/学号 学生 _ 实验日期 成绩_______________________ 指导教师王欣

实验五数/模转换器和模/数转换器实验 一、实验目的 1. 了解数/模转换器的基本原理,掌握DAC0832芯片的使用方法。 2. 了解模/数转换器的基本原理,掌握ADC0809的使用方法。 二.实验设备 1.PC微机系统一套 2.TPC-USB通用微机接口实验系统一套 三.实验要求 1.实验前要作好充分准备,包括程序框图、源程序清单、调试步骤、测试方法、对运行结果的分析等。 2.熟悉与实验有关的系统软件(如编辑程序、汇编程序、连接程序和调试程序等)使用方法。在程序调试过程中,有意识地了解并掌握TPC-USB通用微机接口实验系统的软硬件环境及使用,掌握程序的调试及运行的方法技巧。 3.实验前仔细阅读理解教材相关章节的相关容,实验时必须携带教材及实验讲义。 四.实验容及步骤 (一)数/模转换器实验 1.实验电路原理如图1,DAC0832采用单缓冲方式,具有单双极性输入端(图中的Ua、Ub),编程产生以下锯齿波(从Ua和Ub输出,用示波器观察) 图1 实验连接参考电路图之一 编程提示: 1. 8位D/A转换器DAC0832的口地址为290H,输入数据与输出电压的关系为:

(UREF表示参考电压,N表示数数据),这里的参考电压为PC机的+5V电源。 2. 产生锯齿波只须将输出到DAC0832的数据由0循环递增。 3. 参考流程图(见图2): 图2 实验参考流程图之一 (二)模/数转换器 1. 实验电路原理图如图3。将实验(一)的DAC的输出Ua,送入ADC0809通道1(IN1)。 图3 实验连接参考电路图之二 2. 编程采集IN1输入的电压,在屏幕上显示出转换后的数据(用16进制数)。编程提示: 1. ADC0809的IN0口地址为298H,IN1口地址为299H。 2. IN0单极性输入电压与转换后数字的关系为:

Multisim数字电路和模拟电路实验报告

昆明理工大学(MultiSim)实验报告 实验名称:模拟电路和数字电路 实验时间:2014 年9 月11 日 专业:指导教师: 姓名: 学号:成绩:教师签名: 一、实验目的: 了解ADC和DAC的作用,连接方法等。学会让信号实现可视化,把可视化的信号转换为模拟信号。 二、实验内容: 模数转换

数模转换 数模模数转换

电压检测 三、实验步骤: 1.连接电路 2.调试电路 3.调节滑动变阻器,观察信号不同的变化。 出师表 两汉:诸葛亮 先帝创业未半而中道崩殂,今天下三分,益州疲弊,此诚危急存亡之秋也。然侍卫之臣不懈于内,忠志之士忘身于外者,盖追先帝之殊遇,欲报之于陛下也。诚宜开张圣听,以光先帝遗德,恢弘志士之气,不宜妄自菲薄,引喻失义,以塞忠谏之路也。 宫中府中,俱为一体;陟罚臧否,不宜异同。若有作奸犯科及为忠善者,宜付有司论其刑赏,以昭陛下平明之理;不宜偏私,使内外异法也。 侍中、侍郎郭攸之、费祎、董允等,此皆良实,志虑忠纯,是以先帝简拔以遗陛下:愚以为宫中之事,事无大小,悉以咨之,然后施行,必能裨补阙漏,有所广益。 将军向宠,性行淑均,晓畅军事,试用于昔日,先帝称之曰“能”,是以众议举宠为督:愚以为营中之事,悉以咨之,必能使行阵和睦,优劣得所。 亲贤臣,远小人,此先汉所以兴隆也;亲小人,远贤臣,此后汉所以倾颓也。先帝在时,每与臣论此事,未尝不叹息痛恨于桓、灵也。侍中、尚书、长史、参军,此悉贞良死节之臣,愿陛下亲之、信之,则汉室之隆,可计日而待也。 臣本布衣,躬耕于南阳,苟全性命于乱世,不求闻达于诸侯。先帝不以臣卑鄙,猥自枉屈,三顾臣于草庐之中,咨臣以当世之事,由是感激,遂许先帝以驱驰。后值倾覆,受任于败军之际,奉命于危难之间,尔来二十有一年矣。

串行数模转换器TLC5615引脚,特点,功能及应用电路

串行数模转换器TLC5615引脚,特点,功能及应用电路 1 TLC5615串行数模转换器简介 TLC5615为美国德州仪器公司1999年推出的产品,是具有串行接口的数模转换器,其输出为电压型,最大输出电压是基准电压值的两倍。带有上电复位功能,即把DAC寄存器复位至全零。TLC5615性能价格比高,目前在国内市场很方便购买。 1.1 TLC5615的特点 (1)10位CMOS电压输出; (2)5V单电源供电; (3)与CPU三线串行接口; (4)最大输出电压可达基准电压的二倍; (5)输出电压具有和基准电压相同极性; (6)建立时间12 5μs; (7)内部上电复位; (8)低功耗,最大仅1 75mW。 1.2 TLC5615引脚说明 TLC5615有小型和塑料DIP封装,DIP封装的TLC5615芯片引脚排列如图1所示。 图1TLC5615引脚排列图 引脚功能说明如下: ——脚1DIN:串行数据输入端; ——脚2SCLK:串行时钟输入端; ——脚3CS:芯片选用通端,低电平有效;

——脚4DOUT:用于级联时的串行数据输出端; ——脚5AGND:模拟地; ——脚6REFIN:基准电压输入端; 1.3 TLC5615的时序分析 TLC5615的时序如图2所示。 图2TLC5615的时序图 由时序图可以看出,当片选CS为低电平时,输入数据DIN由时钟SCLK同步输入或输出,而且最高有效位在前,低有效位在后。输入时SCLK的上升沿把串行输入数据DIN移入内部的16位移位寄存器,SCLK的下降沿输出串行数据DOUT,片选CS的上升沿把数据传送至DAC寄存器。 当片选CS为高电平时,串行输入数据DIN不能由时钟同步送入移位寄存器;输出数据DOUT 保持最近的数值不变而不进入高阻状态。由此要想串行输入数据和输出数据必须满足两个条件:第一时钟SCLK的有效跳变;第二片选CS为低电平。这里,为了使时钟的内部馈通最小,当片选CS为高电平时,输入时钟SCLK应当为低电平。 串行数模转换器TLC5615的使用有两种方式,即级联方式和非级联方式。如不使用级联方式,DIN只需输入12位数据。DIN输入的12位数据中,前10位为TLC5615输入的D/A 转换数据,且输入时高位在前,低位在后,后两位必须写入数值为零的低于LSB的位,因为TLC5615的DAC输入锁存器为12位宽。如果使用TL5615的级联功能,来自DOUT的数据需要输入16位时钟下降沿,因此完成一次数据输入需要16个时钟周期,输入的数据也应为16位。输入的数据中,前4位为高虚拟位,中间10位为D/A转换数据,最后2位为低于LSB的位即零。 2 TLC5615应用电路实例 图3给出了在开关电源中,TLC5615和AT89C51单片机的接口电路。在电路中,AT89C51单片机的P3.0-P3.2分别控制TLC5615的片选CS,串行时钟输入SCLK和串行数据输入DIN。电路的连接采用非级联方式。根据开关电源的设计要求,可变基准电压范围为0V~4V。因此,TLC5615的基准电压选为2.048V,其最大模拟输出电压为4.096V。可满足开关电源的要求。

单片机AD模数转换实验报告

单片机AD模数转换实验报告

一、实验目的和要求 1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时和查询的方法编写A/D 转换程序。 5、启动A/D转换,将输入模拟量的转换

结果在显示器上显示。 三、电路原理图。 图1、电路仿真图 四、实验程序流程框图和程序清单。 1、查询法: ORG 0000H START: LJMP MAIN ORG 0100H

MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB P3.3, LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: M OV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H END display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码送位选信号延时1ms 熄灭第四位数码管延时1ms 返回

数模及模数转换电路设计问答

ADC/DAC设计经典问答 (上) 1. 什么是小信号带宽(SSBW)? 小信号带宽(Small Signal Bandwidth (SSBW))是指在指定的幅值输入信号及特定的频率下,它的输出幅值比低频时的输出幅值下降指定值时,该特定频率为小信号带宽。 2. 什么是共模电压(VCM)? 共模电压(Common Mode Voltage (VCM ))是差动输入的两个引脚上相同的直流输入电压。 3. 什么是MSB(最高有效位)? MSB(最高有效位(Most Significant Bit)),是具有最大的值或权重的位。它的值是满量程的一半。 4. 什么是采样(孔径)延时? 采样(孔径)延时(Sampling (Aperture) Delay)是时钟输入的后边缘到采样开关打开所需的时间。采样/保持电路有效地停止输入信号捕获,并进入“保持”模式,确定时钟延时后的采样。 5. 什么是满量程(FS)输入范围? 满量程输入范围(Full Scale Input Range),是指模数转换器上数字化的输入电压的输入范围,既不低于这个范围也不超过这个范围。比如V REF + = 3.5V 和VREF - = 1.5V, FS = (VREF + )-(VREF - ) = 2.0V。 6. 什么是时钟占空比? 时钟占空比(Clock Duty Cycle)是时钟波形高电平时间和一个时钟周期总时间的比值。 7. 什么是位的有效数(ENOB ,或有效位)? 位的有效数(ENOB ,或有效位)(Effective Number of Bits (ENOB, 或Effective Bits))是信噪比和失真的比率,或SINAD的另一种表达方法。ENOB定义为(SINAD -1.76)/ 6.02,这个位数(ENOB)表示转换器是与理想的模数转换器等效。 8. 什么是增益误差? 增益误差是在第一个代码和最后一个代码发生转换时,实际输人电压与理想输人电压之差。即,这个差值是:满量程- 2 LSB。 9. 许多模数转换器在数据手册中提供的应用,在Va, Vd 和Vref引脚上出现了三个电容。这三个电容器都是必须的吗?

数模转换方法和电路与设计方案

本技术公开了一种数模转换方法和电路,所述方法包括如下步骤:对通过输入接口的串行数据进行解码,获得并行解码数据;基于FPGA程序将所述并行解码数据进行转换后输出给数模DA转换芯片;对所述DA转换芯片输出的数据信号调整后进行模拟输出。本技术方法实现了在现有传统红外光谱测试设备基础上对数字化输出进行转换,变为传统的输出形式,从而实现利用现有设备来进行测试,取得了积极的技术效果。 权利要求书 1.一种数模转换方法,其特征在于,所述方法包括如下步骤: 对通过输入接口的串行数据进行解码,获得并行解码数据; 基于FPGA程序将所述并行解码数据进行转换后输出给数模DA转换芯片; 对所述DA转换芯片输出的数据信号调整后进行模拟输出。 2.如权利要求1所述的方法,其特征在于,所述并行解码数据,包括:标准并行数据和时钟信号。 3.如权利要求2所述的方法,其特征在于,所述基于FPGA程序将所述并行解码数据进行转换后输出给DA转换芯片,包括: 在帧率低于给定阈值的情况下,基于FPGA程序将所有所述标准并行数据进行转换后输出给DA转换芯片; 在帧率高于给定阈值的情况下,基于FPGA程序选取指定位数的标准并行数据进行转换后输出给DA转换芯片。 4.如权利要求3所述的方法,其特征在于,所述基于FPGA程序将所述并行解码数据进行转换后输出给DA转换芯片,还包括: 将FPGA降频至所述DA转换芯片可转换的时钟范围,并将帧起始信号、行起始信号和所述时钟信号经过隔离后进行信号输出。 5.如权利要求4所述的方法,其特征在于,所述对所述DA转换芯片输出的数据信号调整后进行模拟输出,包括: 通过运放电路对所述DA转换芯片输出的数据信号进行输出范围调节和驱动增强后进行模拟输出。 6.一种数模转换电路,其特征在于,所述电路包括: 图像解码电路,用于对通过输入接口的串行数据进行解码,获得并行解码数据;

模 数(A D)转换器(微机实验报告)

模/数(A/D)转换器 一、实验目的 1、掌握ADC0809模数转换芯片与计算机的连接方法; 2、了解ADC0809芯片的功能及编程方法; 3、了解计算机如何进行数据采集。 二、实验设备 1、PC机一台 2、TPC-H微机接口实验系统实验箱一台 3、连接导线若干 三、实验内容 1、实验电路原理图如图1。 ADC0809是8位A/ D转换器,每采集一次一般需100 s。由于ADC0809 A/ D转换器转换结束后会自动产生EOC信号(高电平有效)。通过实验台左下角电位器RW1输出0~5V直流电压送入ADC0809通道0(IN0),利用debug的输出命令启动A/D转换器,输入命令读取转换结果,验证输入电压与转换后数字的关系。启动IN0开始转换: O 298, 0 读取转换结果: I 298 图1 模数转换电路 2、编程采集IN0输入的电压,在屏幕上显示出转换后的数据(用16进制数)。 3、将JP3的1、2短接,使IN1处于双极性工作方式,并给IN1输入一个低频交流信号(幅度为±5V),编程采集这个信号数据并在屏幕上显示波形。 四、编程提示

1、ADC0809的IN0口地址为298H,IN1口地址为299H。 2、IN0单极性输入电压与转换后数字的关系为: 其中Ui为输入电压,UREF为参考电压,这里的参考电压为PC机的+5V电源。 3、一次A/D转换的程序可以为 MOV DX,口地址 OUT DX,AL ;启动转换 ;延时 IN AL,DX ;读取转换结果放在AL 五、参考流程图 图2 流程图1

图3 流程图2 六、实验源程序 code segment assume cs:code start: mov dx,298h ;启动A/D转换器 out dx,al mov cx,0ffh ;延迟 delay: loop delay in al,dx ;从A/D转换器输入数据 mov bl,al ;将Al保存到BL mov cl,4 shr al,cl ;将AL右移四位 call disp ;将显示子程序显示其高四位 mov al,bl

微机原理及其应用报告:数模转换器DAC0832双缓冲输出设计

本科生实验报告 实验名称:数模转换器DAC0832双缓冲输出设计 一、实验目的 1)了解DAC0832芯片引脚、内部结构及工作原理; 2)掌握应用单片机I/O端口控制DAC0832实现数模转换的方法; 3)掌握DAC0832单缓冲和双缓冲控制技术及编程设计方法; 二、实验原理 DAC0832是8位分辨率的数模转换集成芯片,内部采用倒T形网络,电流型输出模式,电流输出稳定时间为1us,采用单电源供电。 片内部由一个8位输入锁存器、一个8位DAC寄存器和一个8位D/A转换器构成,内部具有双缓冲结构,可以实现单缓冲、双缓冲数字输入。 双缓冲同步控制方式: 针对多个模拟量需要同时输出的控制系统,可以采用双缓冲同步控制方式。D/A转换数据的输入锁存和D/A转换输出分两步完成。首先,CPU分时向各路D/A转换器输入要转换的数字量并锁存在各自的输入锁存器中,然后,CPU同时对所有D/A转换器发出输入所存数据打入DAC寄存器的控制信号,即可实现

多通道的同步模拟量数据输出。 应用双缓冲方式,可以在输出模拟信号的同时采集下一个数字量,有效地提高转换速度。另外,可以在多个D/A转换器同时工作时,利用双缓冲模式实现多路D/A的同步输出。 三、实验内容 通过单片机I/O端口控制两路DAC0832实现数模转换,控制方式采用双缓冲控制方式。 1.阅读理解双缓冲控制电路图,分析双缓冲模式下DAC0832与单片机接口电路的设计及两次DA转换实验在控制电路上的异同。 2.设计程序,实现双缓冲模式下DA转换的同步输出。 首先,CPU分时向各路D/A转换器输入要转换的数字量并锁存在各自的输入锁存器中,然后,通过按键控制,同时对两个DAC0832锁存数据进行数模转换,同步产生三角波、正弦波模拟输出信号。 四、实验过程 1,实验原理图 2,实验源程序 #include sbit DAC1_WR1=P2^0; sbit DAC2_WR1=P2^1; sbit DAC_SW1=P2^2; sbit DAC_SW2=P2^3;

模数转换实验报告

单片机控制ADC0809的模数转换与显示 一、实验内容和要求 本题目对单片机控制ADC0809(Proteus的元件库中没有ADC0809,用ADC0808来代替)的通道3的电压模拟量进行模数转换,转换为数字量后,显示在3位数码管上。调节图中的电位器,可观察到数码管显示的电压值在变化。 二、实验主要仪器设备和材料 计算机一台 三、实验方法、步骤及结果测试 所有操作都在ISIS中进行,步骤如下。 (一)、Proteus电路设计 1.从Proteus库中选取元器件 (1)AT89C51:单片机; (2)RES:电阻; (3)7SEG-MAPX4-CC-BLUE (4)CAP、CAP-ELEC:电容、电解电容 (5)CRYSTAL:晶振; (6)BUTTON:开关 (7)BUTTON (8)ADC0808 (9)POT-HG (10)LED-YELLOW (11)MAX7219 (12)RESONATOR 2.放置元器件、放置电源和地、连线、元器件属性设置、电气检测 所有操作都在ISIS中进行 完成的电路图设计如图

(二)、源程序设计 1、流程图 2、通过Keil u Vision4建立工程,再建立源程序文件

源程序如下 主机程序: LED_0 EQU 30H LED_1 EQU 31H LED_2 EQU 32H ADC EQU 35H CLOCK BIT P2.4 ST BIT P2.5 EOC BIT P2.6 OE BIT P2.7 ORG 00H SJMP START ORG 0BH LJMP INT_T0 START: MOV LED_0, #00H MOV P2,#0FFH MOV LED_1,#00H MOV LED_2,#00H MOV DPTR,#TABLE MOV TMOD,#02H ;设置定时器工作方式2 MOV TH0,#245 MOV TL0,#00H MOV IE,#82H ;开总中断和定时器0中断 SETB TR0 ;启动定时器0 WAIT: CLR ST SETB ST CLR ST JNB EOC,$ ;判断A/D转换结束否 SETB OE ;允许数据量输出 MOV ADC,P3 CLR OE MOV A,ADC MOV B,#51 DIV AB MOV LED_2, A MOV A,B MOV B,#5 DIV AB MOV LED_1, A MOV LED_0, B LCALL DISP ;跳至显示子程序 SJMP WAIT

数电实验报告11 DA、AD转换原理及应用

实验报告 实验十一D/A、A/D转换原理及应用 2.11.1实验目的 (1)掌握D/A、A/D变换的工作原理。 (2)掌握D/A、A/D转换器DAC0832和A/D转换器ADC0809的使用方法。 2.11.2实验仪器与器件 实验箱一个;双踪示波器一台;稳压电源一台;函数发生器一台。 D/A转换器DAC0832;A/D转换器ADC0809。 2.11.3实验原理 1.D/A转换器 D/A转换器可将输入的数字信号转变为与此数值成正比的模拟电压或电流。 (1)二进制加权电阻网络D/A转换器。图示为4位加权电阻网络D/A转换器的原理图。它由加权电阻网络、4个电子开关和1个求和放大器组成。 (2)倒T型电阻网络转换器。加权电阻网络的缺点是阻值种类多,当转换位数较多时,阻值的变化范围很宽,难以准确选择。采用倒T型网络可适当解决这个问题。 (3)D/A转换器DAC0832。DAC0832是用CMOS工艺制成的单片式8位数模转换器。 2.A/D转换器 A/D模数转换器可将模拟信号转换成数字信号。ADC0809是CMOS单片型逐次逼近式A/D转换器,内部结构如图所示,它由8路模拟开关、地址锁存与译码器、比较器、8位开关树型A/D转换器、逐次逼近寄存器、逻辑控制和定时电路组成。 2.11.4实验任务 (1)用DAC0832实现D/A转换。按图2-11-8连接电路,改变DAC0832输入数据,将测得的输出电压填入表2-11-1中。

表2-11-1: 数据输入仿真输出实验输出K8 K7 K6 K5 K4 K3 K2 K1 V OUT V OUT 0 0 0 0 0 0 0 0 7mV 9mV 0 0 0 0 0 0 0 1 21.5mV 21mV 0 0 0 0 0 0 1 0 42.3mV 41mV 0 0 0 0 0 1 0 0 83.5mV 81mV 0 0 0 0 1 0 0 0 165.6mV 160.3mV 0 0 0 1 0 0 0 0 330.1mV 319mV 0 0 1 0 0 0 0 0 659mV 636mV 0 1 0 0 0 0 0 0 1.371V 1.272mV 1 0 0 0 0 0 0 0 2.634V 2.545mV (2)用ADC0809实现A/D转换。按图2-11-9连接电路。请按表2-11-2的要求进行实验,并将结果按参考电压为5V和5.12V分别填入表2-11-2中。如果ADDA、ADDB、ADDC连接成010.,那么模拟输入电压应从哪个引脚输入?

实验十ADC0832数模转换的显示

实验报告十 实验名称:ADC0832数模转换的显示 目的:ADC0832是8脚双列直插式双通道A/D转换器,能分别对两路模拟信号实现模—数转换,可以用在单端输入方式和差分方式下工作。ADC0832采用串行通信方式,通过DI 数据输入端进行通道选择、数据采集及数据传送。8位的分辨率(最高分辨可达256级),可以适应一般的模拟量转换要求。其内部电源输入与参考电压的复用,使得芯片的模拟电压输入在0~5V之间。具有双数据输出可作为数据校验,以减少数据误差,转换速度快且稳定性能强。独立的芯片使能输入,使多器件挂接和处理器控制变的更加方便。 ADC0832的工作原理: 正常情况下ADC0832 与单片机的接口应为4条数据线,分别是CS、CLK、DO、DI。但由于DO端与DI端在通信时并未同时使用并与单片机的接口是双向的,所以在I/O口资源紧张时可以将DO和DI并联在一根数据线上使用。当ADC0832未工作时其CS输入端应为高电平,此时芯片禁用,CLK 和DO/DI 的电平可任意。当要进行A/D转换时,须先将CS使能端置于低电平并且保持低电平直到转换完全结束。此时芯片开始转换工作,同时由处理器向芯片时钟(CLK)输入端输入时钟脉冲,DO/DI端则使用DI端输入通道功能选择的数据信号。在第一个时钟脉冲的下沉之前DI端必须是高电平,表示启始信号。在第二、三个脉冲下沉之前DI端应输入两位数据用于选择通道功能。 通道地址通道 工作方式说明 SGL/DIF ODD/SIGN 0 1 0 0 + - 差分方式 0 1 - + 1 0 + 单端输入方式 1 1 + 表1:通道地址设置表 如表1所示,当此两位数据为“1”、“0”时,只对CH0 进行单通道转换。当2位数据为“1”、“1”时,只对CH1进行单通道转换。当两位数据为“0”、“0”时,将CH0作为正输入端IN+,CH1作为负输入端IN-进行输入。当两位数据为“0”、“1”时,将CH0作为负输入端IN-,CH1 作为正输入端IN+进行输入。到第三个脉冲的下降之后DI端的输入电平就失去输入作用,此后DO/DI端则开始利用数据输出DO进行转换数据的读取。从第4个脉冲下降沿开始由DO端输出转换数据最高位Data7,随后每一个脉冲的下降沿DO端输出下一位数据。直到第11个脉冲时发出最低位数据Data0,一个字节的数据输出完成。也正是从此位开始输出下一个相反字节的数据,即从第11个字节的下降沿输出Data0。随后输出8位数据,到第19 个脉冲时数据输出完成,也标志着一次A/D 转换的结束。最后将CS置高电平禁用芯片,直接将转换后的数据进行处理就可以了。时序说明请参照图4。

单片机AD模数转换实验报告

、实验目的和要求 1掌握单片机与 ADC0809的接口设计方法 2、掌握Proteus 软件与Keil 软件的使用方法 二、设计要求。 1、 用Proteus 软件画出电路原理图, 在单片机的外部扩展片外三总线, 总线 与0809接口。 2、 在0809的某一模拟量输入通道上接外部模拟量。 3、 在单片机的外部扩展数码管显示器。 4、 分别采用延时和查询的方法编写 A/D 转换程序。 5、 启动A/D 转换,将输入模拟量的转换结果在显示器上显示。 三、电路原理图。 图1、电路仿真图 并通过片外三 n Frr inn LB LL ir~ 才 TT 2ira : 2.1边 存 10 丄 Wil 乙*TH zan.13 2.irxis Z5TS.13 2.1rt19 ricrra 1 2 1c 1 c 儿IE" jjm 3 ATI 「u rip. XTAGl; PEL. ■ .L^c

ORG 0000H START: LJMP MAIN ORG 0100H MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB P3.3, LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL RET DELAY DELAY: MOV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ RET R5, DL1 WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9 H,0A4H,0B0 1、 BH 四、实验程序流程框图和程序清单。 查询法: 屈刎D 判断P :L 3 4 从ND 中取数抑 数据处理 调显示了函数 display 丁 送百分位字符代码 送位选信号 延时1ms 送十分位字符代码 送位选信号 延时1ms 送个位及小数点字符代码 送位选信号 延时1ms 熄灭第四位数码管 延时1ms 返回

相关文档
最新文档