微电子专业英语常见词汇总

微电子专业英语常见词汇总
微电子专业英语常见词汇总

Abrupt junction 突变结 Accelerated testing 加速实验Acceptor 受主 Acceptor atom 受主原子Accumulation 积累、堆积 Accumulating contact 积累接触Accumulation region 积累区 Accumulation layer 积累层

Active region 有源区 Active component 有源元

Active device 有源器件 Activation 激活Activation energy 激活能 Active region 有源(放大)区Admittance 导纳 Allowed band 允带

Alloy-junction device合金结器件 Aluminum(Aluminium) 铝

Aluminum – oxide 铝氧化物 Aluminum passivation 铝钝化Ambipolar 双极的 Ambient temperature 环境温度Amorphous 无定形的,非晶体的 Amplifier 功放扩音器放大器Analogue(Analog) comparator 模拟比较器 Angstrom 埃

Anneal 退火 Anisotropic 各向异性的Anode 阳极 Arsenic (AS) 砷

Auger 俄歇 Auger process 俄歇过程Avalanche 雪崩 Avalanche breakdown 雪崩击穿Avalanche excitation雪崩激发

Background carrier 本底载流子 Background doping 本底掺杂Backward 反向 Backward bias 反向偏置Ballasting resistor 整流电阻 Ball bond 球形键合

Band 能带 Band gap 能带间隙Barrier 势垒 Barrier layer 势垒层

Barrier width 势垒宽度 Base 基极

Base contact 基区接触 Base stretching 基区扩展效应Base transit time 基区渡越时间 Base transport efficiency基区输运系数Base-width modulation基区宽度调制 Basis vector 基矢

Bias 偏置 Bilateral switch 双向开关Binary code 二进制代码Binary compound semiconductor 二元化合物半导体Bipolar 双极性的 Bipolar Junction Transistor (BJT)双极晶体管Bloch 布洛赫 Blocking band 阻挡能带Blocking contact 阻挡接触 Body - centered 体心立方

Body-centred cubic structure 体立心结构 Boltzmann 波尔兹曼

Bond 键、键合 Bonding electron 价电子Bonding pad 键合点 Bootstrap circuit 自举电路Bootstrapped emitter follower 自举射极跟随器Boron 硼Borosilicate glass 硼硅玻璃 Boundary condition 边界条件Bound electron 束缚电子 Breadboard 模拟板、实验板Break down 击穿Break over 转折

Brillouin 布里渊 Brillouin zone 布里渊区

Built-in 内建的 Build-in electric field 内建电场

Bulk 体/体内 Bulk absorption 体吸收

Bulk generation 体产生 Bulk recombination 体复合

Burn - in 老化 Burn out 烧毁

Buried channel 埋沟 Buried diffusion region 隐埋扩散区

Can 外壳 Capacitance 电容

Capture cross section 俘获截面 Capture carrier 俘获载流子Carrier 载流子、载波 Carry bit 进位位

Carry-in bit 进位输入 Carry-out bit 进位输出Cascade 级联 Case 管壳

Cathode 阴极 Center 中心

Ceramic 陶瓷(的) Channel 沟道

Channel breakdown 沟道击穿 Channel current 沟道电流Channel doping 沟道掺杂 Channel shortening 沟道缩短Channel width 沟道宽度 Characteristic impedance 特征阻抗Charge 电荷、充电 Charge-compensation effects 电荷补偿效应Charge conservation 电荷守恒 Charge neutrality condition 电中性条件Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储Chemmical etching 化学腐蚀法 Chemically-Polish 化学抛光Chemmically-Mechanically Polish (CMP) 化学机械抛光 Chip 芯片

Chip yield 芯片成品率 Clamped 箝位

Clamping diode 箝位二极管 Cleavage plane 解理面

Clock rate 时钟频率 Clock generator 时钟发生器Clock flip-flop 时钟触发器 Close-packed structure 密堆积结构Close-loop gain 闭环增益 Collector 集电极Collision 碰撞 Compensated OP-AMP 补偿运放Common-base/collector/emitter connection共基极/集电极/发射极连接Common-gate/drain/source connection 共栅/漏/源连接

Common-mode gain 共模增益 Common-mode input 共模输入Common-mode rejection ratio (CMRR) 共模抑制比

Compatibility 兼容性 Compensation 补偿Compensated impurities 补偿杂质 Compensated semiconductor 补偿半导体Complementary Darlington circuit 互补达林顿电路

Complementary Metal-Oxide-Semiconductor Field-Effect-Transistor(CMOS)

互补金属氧化物半导体场效应晶体管

Complementary error function 余误差函数

Computer-aided design (CAD)/test(CAT)/manufacture(CAM) 计算机辅助设计/ 测试/制造Compound Semiconductor 化合物半导体 Conductance 电导Conduction band (edge) 导带(底) Conduction level/state 导带态Conductor 导体 Conductivity 电导率Configuration 组态 Conlomb 库仑Conpled Configuration Devices 结构组态 Constants 物理常数Constant energy surface 等能面 Constant-source diffusion恒定源扩散Contact 接触 Contamination 治污Continuity equation 连续性方程 Contact hole 接触孔Contact potential 接触电势 Continuity condition 连续性条件Contra doping 反掺杂 Controlled 受控的Converter 转换器 Conveyer 传输器Copper interconnection system 铜互连系统Couping 耦合Covalent 共阶的 Crossover 跨交

Critical 临界的 Crossunder 穿交

Crucible坩埚 Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶格Current density 电流密度 Curvature 曲率

Cut off 截止Current drift/dirve/sharing 电流漂移/驱动/共享Current Sense 电流取样 Curvature 弯曲

Custom integrated circuit 定制集成电路 Cylindrical 柱面的Czochralshicrystal 直立单晶

Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)

Dangling bonds 悬挂键 Dark current 暗电流

Dead time 空载时间 Debye length 德拜长度De.broglie 德布洛意 Decderate 减速

Decibel (dB) 分贝 Decode 译码

Deep acceptor level 深受主能级 Deep donor level 深施主能级Deep impurity level 深度杂质能级 Deep trap 深陷阱Defeat 缺陷

Degenerate semiconductor 简并半导体 Degeneracy 简并度Degradation 退化 Degree Celsius(centigrade) /Kelvin 摄氏/开氏温度Delay 延迟 Density 密度

Density of states 态密度 Depletion 耗尽Depletion approximation 耗尽近似 Depletion contact 耗尽接触Depletion depth 耗尽深度 Depletion effect 耗尽效应Depletion layer 耗尽层 Depletion MOS 耗尽MOS Depletion region 耗尽区 Deposited film 淀积薄膜Deposition process 淀积工艺 Design rules 设计规则

Die 芯片(复数dice) Diode 二极管Dielectric 介电的 Dielectric isolation 介质隔离Difference-mode input 差模输入 Differential amplifier 差分放大器Differential capacitance 微分电容 Diffused junction 扩散结Diffusion 扩散 Diffusion coefficient 扩散系数Diffusion constant 扩散常数 Diffusivity 扩散率Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉

Digital circuit 数字电路 Dipole domain 偶极畴Dipole layer 偶极层 Direct-coupling 直接耦合Direct-gap semiconductor 直接带隙半导体Direct transition 直接跃迁Discharge 放电 Discrete component 分立元件Dissipation 耗散 Distribution 分布Distributed capacitance 分布电容 Distributed model 分布模型Displacement 位移 Dislocation 位错Domain 畴 Donor 施主Donor exhaustion 施主耗尽 Dopant 掺杂剂Doped semiconductor 掺杂半导体 Doping concentration 掺杂浓度Double-diffusive MOS(DMOS)双扩散MOS.

Drift 漂移 Drift field 漂移电场Drift mobility 迁移率 Dry etching 干法腐蚀Dry/wet oxidation 干/湿法氧化 Dose 剂量

Duty cycle 工作周期 Dual-in-line package (DIP)双列直插式封装Dynamics 动态 Dynamic characteristics 动态属性Dynamic impedance 动态阻抗

Early effect 厄利效应 Early failure 早期失效

Effective mass 有效质量 Einstein relation(ship) 爱因斯坦关系Electric Erase Programmable Read Only Memory(E2PROM) 一次性电可擦除只读存储器Electrode 电极 Electrominggratim 电迁移

Electron affinity 电子亲和势 Electronic -grade 电子能

Electron-beam photo-resist exposure 光致抗蚀剂的电子束曝光

Electron gas 电子气 Electron-grade water 电子级纯水Electron trapping center 电子俘获中心 Electron Volt (eV) 电子伏Electrostatic 静电的 Element 元素/元件/配件Elemental semiconductor 元素半导体 Ellipse 椭圆

Ellipsoid 椭球 Emitter 发射极

Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对Emitter follower 射随器 Empty band 空带

Emitter crowding effect 发射极集边(拥挤)效应

Endurance test =life test 寿命测试 Energy state 能态

Energy momentum diagram 能量-动量(E-K)图Enhancement mode 增强型模式Enhancement MOS 增强性MOS Entefic (低)共溶的Environmental test 环境测试 Epitaxial 外延的

Epitaxial layer 外延层Epitaxial slice 外延片

Expitaxy 外延 Equivalent curcuit 等效电路Equilibrium majority /minority carriers 平衡多数/少数载流子

Erasable Programmable ROM (EPROM)可搽取(编程)存储器

Error function complement 余误差函数

Etch 刻蚀 Etchant 刻蚀剂Etching mask 抗蚀剂掩模 Excess carrier 过剩载流子Excitation energy 激发能 Excited state 激发态Exciton 激子 Extrapolation外推法Extrinsic 非本征的 Extrinsic semiconductor 杂质半导体Face - centered 面心立方 Fall time 下降时间

Fan-in 扇入 Fan-out 扇出

Fast recovery 快恢复 Fast surface states 快界面态Feedback 反馈 Fermi level 费米能级Fermi-Dirac Distribution 费米-狄拉克分布 Femi potential 费米势

Fick equation 菲克方程(扩散) Field effect transistor 场效应晶体管Field oxide 场氧化层 Filled band 满带

Film 薄膜 Flash memory 闪烁存储器Flat band 平带 Flat pack 扁平封装Flicker noise 闪烁(变)噪声 Flip-flop toggle 触发器翻转Floating gate 浮栅 Fluoride etch 氟化氢刻蚀Forbidden band 禁带 Forward bias 正向偏置Forward blocking /conducting正向阻断/导通

Frequency deviation noise频率漂移噪声

Frequency response 频率响应 Function 函数

Gain 增益 Gallium-Arsenide(GaAs) 砷化钾Gamy ray r 射线 Gate 门、栅、控制极Gate oxide 栅氧化层 Gauss(ian)高斯

Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生-复合Geometries 几何尺寸 Germanium(Ge) 锗

Graded 缓变的 Graded (gradual) channel 缓变沟道Graded junction 缓变结 Grain 晶粒Gradient 梯度 Grown junction 生长结Guard ring 保护环 Gummel-Poom model 葛谋-潘模型Gunn - effect 狄氏效应

Hardened device 辐射加固器件 Heat of formation 形成热

Heat sink 散热器、热沉 Heavy/light hole band 重/轻空穴带Heavy saturation 重掺杂 Hell - effect 霍尔效应Heterojunction 异质结 Heterojunction structure 异质结结构Heterojunction Bipolar Transistor(HBT)异质结双极型晶体

High field property 高场特性

High-performance MOS.( H-MOS)高性能MOS. Hormalized 归一化Horizontal epitaxial reactor 卧式外延反应器 Hot carrior 热载流子Hybrid integration 混合集成

Image - force 镜象力 Impact ionization 碰撞电离Impedance 阻抗 Imperfect structure 不完整结构Implantation dose 注入剂量 Implanted ion 注入离子Impurity 杂质 Impurity scattering 杂志散射Incremental resistance 电阻增量(微分电阻)In-contact mask 接触式掩模Indium tin oxide (ITO) 铟锡氧化物 Induced channel 感应沟道Infrared 红外的 Injection 注入

Input offset voltage 输入失调电压 Insulator 绝缘体Insulated Gate FET(IGFET)绝缘栅FET Integrated injection logic集成注入逻辑Integration 集成、积分 Interconnection 互连Interconnection time delay 互连延时 Interdigitated structure 交互式结构Interface 界面 Interference 干涉International system of unions国际单位制 Internally scattering 谷间散射Interpolation 内插法 Intrinsic 本征的Intrinsic semiconductor 本征半导体 Inverse operation 反向工作Inversion 反型 Inverter 倒相器

Ion 离子 Ion beam 离子束

Ion etching 离子刻蚀 Ion implantation 离子注入Ionization 电离 Ionization energy 电离能Irradiation 辐照 Isolation land 隔离岛Isotropic 各向同性

Junction FET(JFET) 结型场效应管 Junction isolation 结隔离Junction spacing 结间距 Junction side-wall 结侧壁

Latch up 闭锁 Lateral 横向的

Lattice 晶格 Layout 版图

Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常熟/晶格缺陷/晶格畸变

Leakage current (泄)漏电流 Level shifting 电平移动

Life time 寿命 linearity 线性度

Linked bond 共价键 Liquid Nitrogen 液氮

Liquid-phase epitaxial growth technique 液相外延生长技术

Lithography 光刻 Light Emitting Diode(LED) 发光二极管Load line or Variable 负载线 Locating and Wiring 布局布线Longitudinal 纵向的 Logic swing 逻辑摆幅Lorentz 洛沦兹 Lumped model 集总模型Majority carrier 多数载流子 Mask 掩膜板,光刻板Mask level 掩模序号 Mask set 掩模组

Mass - action law质量守恒定律 Master-slave D flip-flop主从D触发器Matching 匹配 Maxwell 麦克斯韦

Mean free path 平均自由程 Meandered emitter junction梳状发射极结Mean time before failure (MTBF) 平均工作时间

Megeto - resistance 磁阻 Mesa 台面

MESFET-Metal Semiconductor金属半导体FET

Metallization 金属化 Microelectronic technique 微电子技术Microelectronics 微电子学 Millen indices 密勒指数

Minority carrier 少数载流子 Misfit 失配Mismatching 失配 Mobile ions 可动离子

Mobility 迁移率 Module 模块

Modulate 调制 Molecular crystal分子晶体Monolithic IC 单片IC MOSFET金属氧化物半导体场效应晶体管Mos. Transistor(MOST )MOS. 晶体管 Multiplication 倍增

Modulator 调制 Multi-chip IC 多芯片IC

Multi-chip module(MCM) 多芯片模块 Multiplication coefficient倍增因子Naked chip 未封装的芯片(裸片) Negative feedback 负反馈Negative resistance 负阻 Nesting 套刻

Negative-temperature-coefficient 负温度系数 Noise margin 噪声容限Nonequilibrium 非平衡 Nonrolatile 非挥发(易失)性Normally off/on 常闭/开 Numerical analysis 数值分析Occupied band 满带 Officienay 功率

Offset 偏移、失调 On standby 待命状态

Ohmic contact 欧姆接触 Open circuit 开路

Operating point 工作点 Operating bias 工作偏置Operational amplifier (OPAMP)运算放大器

Optical photon =photon 光子 Optical quenching光猝灭

Optical transition 光跃迁 Optical-coupled isolator光耦合隔离器Organic semiconductor有机半导体 Orientation 晶向、定向

Outline 外形 Out-of-contact mask非接触式掩模Output characteristic 输出特性 Output voltage swing 输出电压摆幅Overcompensation 过补偿 Over-current protection 过流保护Over shoot 过冲 Over-voltage protection 过压保护Overlap 交迭 Overload 过载Oscillator 振荡器 Oxide 氧化物Oxidation 氧化 Oxide passivation 氧化层钝化

Package 封装 Pad 压焊点Parameter 参数 Parasitic effect 寄生效应Parasitic oscillation 寄生振荡 Passination 钝化

Passive component 无源元件 Passive device 无源器件Passive surface 钝化界面 Parasitic transistor 寄生晶体管

Peak-point voltage 峰点电压 Peak voltage 峰值电压Permanent-storage circuit 永久存储电路 Period 周期

Periodic table 周期表 Permeable - base 可渗透基区Phase-lock loop 锁相环 Phase drift 相移

Phonon spectra 声子谱

Photo conduction 光电导 Photo diode 光电二极管Photoelectric cell 光电池

Photoelectric effect 光电效应

Photoenic devices 光子器件 Photolithographic process 光刻工艺(photo) resist (光敏)抗腐蚀剂 Pin 管脚

Pinch off 夹断 Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺 Planar transistor 平面晶体管

Plasma 等离子体 Plezoelectric effect 压电效应

Poisson equation 泊松方程 Point contact 点接触

Polarity 极性 Polycrystal 多晶

Polymer semiconductor聚合物半导体 Poly-silicon 多晶硅

Potential (电)势 Potential barrier 势垒

Potential well 势阱 Power dissipation 功耗

Power transistor 功率晶体管 Preamplifier 前置放大器Primary flat 主平面 Principal axes 主轴

Print-circuit board(PCB) 印制电路板 Probability 几率

Probe 探针 Process 工艺

Propagation delay 传输延时 Pseudopotential method 膺势发

Punch through 穿通 Pulse triggering/modulating 脉冲触发/调制Pulse Widen Modulator(PWM) 脉冲宽度调制

Punchthrough 穿通 Push-pull stage 推挽级

Quality factor 品质因子 Quantization 量子化

Quantum 量子 Quantum efficiency量子效应Quantum mechanics 量子力学 Quasi – Fermi-level准费米能级Quartz 石英

Radiation conductivity 辐射电导率 Radiation damage 辐射损伤Radiation flux density 辐射通量密度 Radiation hardening 辐射加固Radiation protection 辐射保护 Radiative - recombination辐照复合Radioactive 放射性 Reach through 穿通

Reactive sputtering source 反应溅射源 Read diode 里德二极管Recombination 复合 Recovery diode 恢复二极管Reciprocal lattice 倒核子 Recovery time 恢复时间

Rectifier 整流器(管)Rectifying contact 整流接触Reference 基准点基准参考点 Refractive index 折射率

Register 寄存器 Registration 对准

Regulate 控制调整 Relaxation lifetime 驰豫时间

Reliability 可靠性 Resonance 谐振Resistance 电阻 Resistor 电阻器Resistivity 电阻率 Regulator 稳压管(器)Relaxation 驰豫 Resonant frequency共射频率Response time 响应时间 Reverse 反向的Reverse bias 反向偏置

Sampling circuit 取样电路 Sapphire 蓝宝石(Al2O3)Satellite valley 卫星谷 Saturated current range电流饱和区Saturation region 饱和区 Saturation 饱和的

Scaled down 按比例缩小 Scattering 散射Schockley diode 肖克莱二极管 Schottky 肖特基Schottky barrier 肖特基势垒 Schottky contact 肖特基接触Schrodingen 薛定厄 Scribing grid 划片格Secondary flat 次平面

Seed crystal 籽晶 Segregation 分凝Selectivity 选择性 Self aligned 自对准的

Self diffusion 自扩散 Semiconductor 半导体Semiconductor-controlled rectifier 可控硅 Sendsitivity 灵敏度

Serial 串行/串联 Series inductance 串联电感Settle time 建立时间 Sheet resistance 薄层电阻Shield 屏蔽 Short circuit 短路

Shot noise 散粒噪声 Shunt 分流

Sidewall capacitance 边墙电容 Signal 信号

Silica glass 石英玻璃 Silicon 硅

Silicon carbide 碳化硅 Silicon dioxide (SiO2) 二氧化硅Silicon Nitride(Si3N4) 氮化硅 Silicon On Insulator 绝缘硅Siliver whiskers 银须 Simple cubic 简立方

Single crystal 单晶 Sink 沉

Skin effect 趋肤效应 Snap time 急变时间Sneak path 潜行通路 Sulethreshold 亚阈的

Solar battery/cell 太阳能电池 Solid circuit 固体电路Solid Solubility 固溶度 Sonband 子带

Source 源极 Source follower 源随器Space charge 空间电荷 Specific heat(PT) 热

Speed-power product 速度功耗乘积 Spherical 球面的

Spin 自旋 Split 分裂Spontaneous emission 自发发射 Spreading resistance扩展电阻Sputter 溅射 Stacking fault 层错

Static characteristic 静态特性 Stimulated emission 受激发射Stimulated recombination 受激复合 Storage time 存储时间Stress 应力 Straggle 偏差Sublimation 升华 Substrate 衬底Substitutional 替位式的 Superlattice 超晶格Supply 电源 Surface 表面

Surge capacity 浪涌能力 Subscript 下标Switching time 开关时间 Switch 开关

Tailing 扩展 Terminal 终端

Tensor 张量 Tensorial 张量的Thermal activation 热激发 Thermal conductivity 热导率Thermal equilibrium 热平衡 Thermal Oxidation 热氧化Thermal resistance 热阻 Thermal sink 热沉Thermal velocity 热运动 Thermoelectricpovoer 温差电动势率Thick-film technique 厚膜技术 Thin-film hybrid IC薄膜混合集成电路Thin-Film Transistor(TFT) 薄膜晶体 Threshlod 阈值Thyistor 晶闸管 Transconductance 跨导Transfer characteristic 转移特性 Transfer electron 转移电子Transfer function 传输函数 Transient 瞬态的Transistor aging(stress) 晶体管老化 Transit time 渡越时间Transition 跃迁 Transition-metal silica 过度金属硅化物Transition probability 跃迁几率 Transition region 过渡区Transport 输运 Transverse 横向的

Trap 陷阱 Trapping 俘获

Trapped charge 陷阱电荷 Triangle generator 三角波发生器Triboelectricity 摩擦电 Trigger 触发

Trim 调配调整 Triple diffusion 三重扩散Truth table 真值表 Tolerahce 容差

Tunnel(ing) 隧道(穿) Tunnel current 隧道电流Turn over 转折 Turn - off time 关断时间

Ultraviolet 紫外的 Unijunction 单结的Unipolar 单极的 Unit cell 原(元)胞Unity-gain frequency 单位增益频率 Unilateral-switch单向开关

Vacancy 空位 Vacuum 真空

Valence(value) band 价带 Value band edge 价带顶

V alence bond 价键 Vapour phase 汽相

Varactor 变容管 Varistor 变阻器Vibration 振动 Voltage 电压

Wafer 晶片 Wave equation 波动方程

Wave guide 波导 Wave number 波数

Wave-particle duality 波粒二相性 Wear-out 烧毁

Wire routing 布线 Work function 功函数

Worst-case device 最坏情况器件

Yield 成品率

Zener breakdown 齐纳击穿

Zone melting 区熔法

专业英语词汇

电力电子专业英语 1、元件设备 三绕组变压器:three-column transformer ThrCln Trans 双绕组变压器:double-column transformer DblCl mnTrans 电容器:Capacitor 并联电容器:shunt capacitor 电抗器:Reactor 母线:Bus bar 输电线:Transmission Line 发电厂:power plant 断路器:Breaker 刀闸(隔离开关):Isolator

功角:power-angle 电压等级:voltage grade 空载损耗:no-load loss 铁损:iron loss 铜损:copper loss 空载电流:no-load current 阻抗:impedance 正序阻抗:positive sequence impedance 负序阻抗:negative sequence impedance 零序阻抗:zero sequence impedance 电阻:resistance 电抗:reactance 电导:conductance 电纳:susceptance 导纳:admittance

无功负载:reactive load 或者QLoad 有功负载: active load PLoad 遥测:YC(telemetering) 遥信:YX 励磁电流(转子电流):magnetizing current 定子:stator 功角:power-angle 上限:upper limit 下限:lower limit 并列的:apposable 高压: high voltage 低压:low voltage 中压:middle voltage 电力系统 power system 发电机 generator

材料专业英语常见词汇

材料专业英语常见词汇(一) Structure ['str?kt??]组织 Ceramic [si'r?mik]陶瓷 Ductility [d?k'til?ti] 塑性 Stiffness ['stifnis]刚度 Grain [ɡrein]晶粒 Phase [feiz]相 Unit cell 单胞 Bravais lattice 布拉菲['l?tis]布拉菲点阵 Stack [st?k]堆垛 Crystal ['krist?l] 晶体 Metallic crystal structure [mi't?lik, me-]金属性晶体点阵Non-directional [,n?ndi'rek??n?l, -dai-]无方向性 Face-centered cubic ['kju:bik]面心立方 Body-centered cubic 体心立方Hexagonal close-packed [hek's?ɡ?n?l]['kl?us'p?kt]密排六方Copper ['k?p?] 铜 Aluminum [?'lju:min?m]铝 Chromium ['kr?umj?m]铬 Tungsten ['t??st?n]钨 Crystallographic Plane [,krist?l?u'ɡr?fik][plein]晶面Crystallographic direction 晶向 Property ['pr?p?ti] 性质 Miller indices ['indisi:z]米勒指数 Lattice parameters ['l?tis][p?'r?mit?]点阵参数 Tetragonal [te'tr?ɡ?n?l]四方的 Hexagonal [hek's?ɡ?n?l]六方的 Orthorhombic [,?:θ?'r?mbik]正交的Rhombohedra [,r?mb?u'hi:dr?] 菱方的 Monoclinic [,m?n?u'klinik]单斜的 Prism ['prizm]棱镜 Cadmium ['k?dmi?m]镉 Coordinate system [k?u'?:dinit, k?u'?:dineit]坐标系Point defect ['di:fekt, di'f-, di'fekt]点缺陷Lattice ['l?tis]点阵 Vacancy ['veik?nsi]空位 Solidification [,s?lidifi'kei??n]结晶 Interstitial [,int?'sti??l]间隙 Substitution [,s?bsti'tju:??n]置换 Solid solution strengthening [s?'lju:??n]['stre?θ?n, 'stre?kθ?n] 固溶强化

电力专业常用英语词汇()

网易电力专业英语词汇(较全) 1)元件设备 三绕组变压器:three-column transformer ThrClnTrans 双绕组变压器:double-column transformer DblClmnTrans 电容器:Capacitor 并联电容器:shunt capacitor 电抗器:Reactor 母线:Busbar 输电线:TransmissionLine 发电厂:power plant 断路器:Breaker 刀闸(隔离开关):Isolator 分接头:tap 电动机:motor 2)状态参数 有功:active power 无功:reactive power 电流:current 容量:capacity 电压:voltage 档位:tap position 有功损耗:reactive loss 无功损耗:active loss 空载损耗:no-load loss 铁损:iron loss 铜损:copper loss 空载电流:no-load current 阻抗:impedance 正序阻抗:positive sequence impedance 负序阻抗:negative sequence impedance 零序阻抗:zero sequence impedance 无功负载:reactive load 或者QLoad 有功负载: active load PLoad 遥测:YC(telemetering) 遥信:YX 励磁电流(转子电流):magnetizing current 定子:stator 功角:power-angle

上限:upper limit 下限:lower limit 并列的:apposable 高压: high voltage 低压:low voltage 中压:middle voltage 电力系统 power system 发电机 generator 励磁 excitation 励磁器 excitor 电压 voltage 电流 current 母线 bus 变压器 transformer 升压变压器 step-up transformer 高压侧 high side 输电系统 power transmission system 输电线 transmission line 固定串联电容补偿fixed series capacitor compensation 稳定 stability 电压稳定 voltage stability 功角稳定 angle stability 暂态稳定 transient stability 电厂 power plant 能量输送 power transfer 交流 AC 装机容量 installed capacity 电网 power system 落点 drop point 开关站 switch station 双回同杆并架 double-circuit lines on the same tower 变电站 transformer substation 补偿度 degree of compensation 高抗 high voltage shunt reactor 无功补偿 reactive power compensation 故障 fault 调节 regulation 裕度 magin 三相故障 three phase fault 故障切除时间 fault clearing time 极限切除时间 critical clearing time 切机 generator triping

微电子专业英语

微电子学专业词汇 A be absorb in 集中精力做某事 access control list 访问控制表 active attack 主动攻击 activeX control ActiveX控件 advanced encryption standard AES,高级加密标准 algorithm 算法 alteration of message 改变消息 application level attack 应用层攻击 argument 变量 asymmetric key cryptography 非对称密钥加密 attribute certificate属性证书 authentication 鉴别 authority 机构 availability 可用性 Abrupt junction 突变结 Accelerated testing 加速实验 Acceptor 受主 Acceptor atom 受主原子 Accumulation 积累、堆积 Accumulating contact 积累接触 Accumulation region 积累区 Accumulation layer 积累层 Active region 有源区 Active component 有源元 Active device 有源器件 Activation 激活 Activation energy 激活能 Active region 有源(放大)区 Admittance 导纳 Allowed band 允带 Alloy-junction device 合金结器件 Aluminum(Aluminium) 铝 Aluminum – oxide 铝氧化物 Aluminum passivation 铝钝化 Ambipolar 双极的 Ambient temperature 环境温度 Amorphous 无定形的,非晶体的 Amplifier 功放扩音器放大器Analogue(Analog) comparator 模拟比较器 Angstrom 埃 Anneal 退火

材料专业英语词汇

材料专业必备英语词汇编号中文英文 1 设计design 2 性能properties 3 温度temperature 4 应用application 5 工艺process 6 焊接welding 7 应力stress 8 腐蚀corrosion 9 强度strength 10 合金alloys 11 组织microstructure 12 参数parameters 13 激光laser 14 变形deformation 15 加工machining 16 热处理heat 17 模拟simulation 18 机床machine 19 材料material 20 不锈钢stainless 21 金属metal 22 涂层coating 23 力学性能mechanical 24 硬度hardness 25 铝合金alloy 26 疲劳fatigue 27 机理mechanism 28 数控nc 29 轧制rolling 30 模具die 31 软件software 32 铸造casting 33 高温temperature 34 铸铁iron 35 成形forming 36 切削cutting 37 裂纹crack 38 轧机mill 39 应变strain 40 断裂fracture 41 晶粒grain 42 有限finite 43 精度precision 44 耐磨wear 45 冷却cooling 46 误差error 47 磨损wear 48 凝固solidification 49 数值numerical 50 有限元finite 51 工艺参数parameters 52 磨削grinding 53 设备equipment 54 仿真simulation 55 计算机computer 56 寿命life 57 刀具tool 58 韧性toughness 59 显微组织microstructure 60 焊缝weld 61 氧化oxidation 62 厚度thickness 63 镁合金magnesium 64 优化optimization 65 残余residual 66 形状shape 67 奥氏体austenite 68 摩擦friction 69 淬火quenching 70 退火annealing

电力电子-专业词汇中英文对照

电力电子-专业词汇中英文对照(一) AbsorberCircuit 吸收电路 AC/ACFrequencyConverter 交交变频电路ACpowercontrol交流电力控制ACPowerController交流调功电路ACPowerElectronicSwitch交流电力电子开关AcVoltageController交流调压电路AsynchronousModulation异步调制BakerClampingCircuit贝克箝位电路 Bi-directionalTriodeThyristor双向晶闸管BipolarJunctionTransistor--BJT双极结型晶体管Boost-BuckChopper升降压斩波电路Boost Chopper升压斩波电路BoostConverter升压变换器BridgeReversibleChopper桥式可逆斩波电路BuckChopper降压斩波电路BuckConverter降压变换器Commutation 换流 Conduction Angle 导通角ConstantVoltageConstantFrequency--CVCF 恒压恒频

ContinuousConduction--CCM (电流)连续模式ControlCircuit 控制电路 CukCircuit CUK斩波电路CurrentReversible Chopper 电流可逆斩波电路CurrentSourceTypeInverter--CSTI 电流(源)型逆变电路Cycloconvertor 周波变流器 DC-AC-DC Converter 直交直电路 DCChopping 直流斩波 DCChoppingCircuit 直流斩波电路 DC-DCConverter 直流-直流变换器DeviceCommutation 器件换流DirectCurrentControl 直接电流控制DiscontinuousConductionmode (电流)断续模式displacementfactor 位移因数 distortionpower 畸变功率 doubleendconverter 双端电路 drivingcircuit 驱动电路 electricalisolation 电气隔离 fastactingfuse 快速熔断器 fastrecoverydiode 快恢复二极管fastrevcoveryepitaxialdiodes 快恢复外延二极管

英语专业四级词汇表(全)

pacific a.和平的n.太平洋pack vt.捆扎;挤满n.包package n.包裹,包,捆packet n.小包(裹),小捆 pad n.垫;本子vt.填塞 page n.页 pail n.桶,提桶 pain n.痛,痛苦悲伤;辛劳painful a.使痛的;费力的paint vt.画;油漆vi.绘画painter n.漆工,画家,绘画者painting n.油画;绘画;着色pair n.一对vi.成对,配对palace n.宫,宫殿 pale a.苍白的;浅的 palm n.手掌,手心;掌状物pan n.平底锅,盘子 panda n.小猫熊;猫熊 pane n.窗格玻璃 panel n.专门小组;面,板pant n.气喘;心跳 paper n.纸;官方文件;文章

parade n.游行;检阅vi.游行paradise n.伊甸乐园;天堂paragraph n.(文章的)段,节parallel a.平行的;相同的parcel n.包裹,小包,邮包pardon n.原谅;赦免vt.原谅parent n.父亲,母亲,双亲 park n.公园;停车场 parliament n.议会,国会 part n.一部分;零件;本份partial a.部分的;不公平的partially ad.部分地 participate vi.参与,参加;分享particle n.粒子,微粒 particular a.特殊的;特定的particularly ad.特别,尤其,格外partly ad.部分地,不完全地partner n.伙伴;搭挡;配偶party n.党,党派;聚会 pass vt.经过;通过;度过passage n.通过;通路,通道passenger n.乘客,旅客,过路人

材料专业英语常见词汇

材料专业英语常见词汇(一Structure 组织Ceramic 陶瓷Ductility 塑性Stiffness 刚度Grain 晶粒Phase 相Unit cell 单胞Bravais lattice 布拉菲点阵Stack 堆垛Crystal 晶体Metallic crystal structure 金属性晶体点阵 Non-directional 无方向性Face-centered cubic 面心立方 Body-centered cubic 体心立方 Hexagonal close-packed 密排六方 Copper 铜Aluminum 铝Chromium 铬 Tungsten 钨Crystallographic Plane 晶面 Crystallographic direction 晶向 Property 性质 Miller indices 米勒指数Lattice parameters 点阵参数Tetragonal 四方的Hexagonal 六方的Orthorhombic 正交的Rhombohedra 菱方的Monoclinic 单斜的Prism 棱镜Cadmium 镉 Coordinate system 坐Point defec点缺陷 Lattice 点阵 Vacancy 空位Solidification 结晶Interstitial 间隙Substitution 置换Solid solution strengthening 固溶强化Diffusion 扩散Homogeneous 均匀的Diffusion Mechanisms 扩散机制Lattice distortion 点阵畸变Self-diffusion 自扩散Fick’s First Law菲克第一定律 Unit time 单位时间Coefficient 系数Concentration gradient 浓度梯度Dislocations 位错Linear defect 线缺陷Screw dislocation 螺型位错Edge dislocation 刃型位错Vector 矢量Loop 环路Burgers’vector柏氏矢量Perpendicular 垂直于Surface defect 面缺陷Grain boundary 晶界Twin boundary 晶界 Shear force 剪应力Deformation 变形Small ( or low) angel grain boundary 小角度晶界Tilt boundary 倾斜晶界Supercooled 过冷的Solidification 凝固Ordering process 有序化过程Crystallinity 结晶度Microstructure 纤维组织Term 术语Phase Diagram 相图Equilibrium 平衡Melt 熔化Cast 浇注Crystallization 结晶Binary Isomorphous Systems 二元匀晶相图Soluble 溶解Phase Present 存在相Locate 确定Tie line 连接线Isotherm 等温线Concentration 浓度Intersection 交点The Lever Law 杠杆定律Binary Eutectic System 二元共晶相图Solvus Line 溶解线Invariant 恒定Isotherm 恒温线Cast Iron 铸铁Ferrite 珠光体Polymorphic transformation 多晶体转变Austenite 奥氏体Revert 回复Intermediate compound 中间化合物Cementite 渗碳体Vertical 垂线Nonmagnetic 无磁性的Solubility 溶解度Brittle 易脆的Eutectic 共晶Eutectoid invariant point 共析点Phase transformation 相变Allotropic 同素异形体Recrystallization 再结晶Metastable 亚稳的Martensitic transformation 马氏体转变Lamellae 薄片Simultaneously 同时存在Pearlite 珠光体Ductile 可塑的Mechanically 机械性能Hypo eutectoid 过共析的Particle 颗粒Matrix 基体Proeutectoid 先共析Hypereutectoid 亚共析的Bainite 贝氏体Martensite 马氏体Linearity 线性的Stress-strain curve 应力-应变曲线Proportional limit 比例极限Tensile strength 抗拉强度Ductility 延展性Percent reduction in area 断面收缩率Hardness 硬度Modulus of Elasticity 弹性模量Tolerance 公差Rub 摩擦Wear 磨损Corrosion resistance 抗腐蚀性Aluminum 铝Zinc 锌Iron ore 铁矿Blast furnace 高炉Coke 焦炭Limestone 石灰石Slag 熔渣Pig iron 生铁Ladle 钢水包Silicon 硅Sulphur 硫Wrought 可锻的Graphite 石墨Flaky 片状Low-carbon steels 低碳钢Case hardening 表面硬化Medium-carbon steels 中碳钢Electrode 电极As a rule 通常Preheating 预热Quench 淬火Body-centered lattice 体心晶格

微电子专业英语词汇

A Abrupt junction 突变结Accelerated testing 加速实验Acceptor 受主 Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层Active region 有源区 Active component 有源元Active device 有源器件Activation 激活 Activation energy 激活能Active region 有源(放大)区Admittance 导纳 Allowed band 允带 Alloy-junction device 合金结器件 Aluminum(Aluminium) 铝Aluminum – oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的 Ambient temperature 环境温度Amorphous 无定形的,非晶体的Amplifier 功放扩音器放大器Analogue(Analog) comparator 模拟比较器 Angstrom 埃 Anneal 退火 Anisotropic 各向异性的 Anode 阳极 Arsenic (AS) 砷 Auger 俄歇 Auger process 俄歇过程Avalanche 雪崩 Avalanche breakdown 雪崩击穿Avalanche excitation 雪崩激发B brute-force attack 强力攻击Background carrier 本底载流子Background doping 本底掺杂Backward 反向 Backward bias 反向偏置Ballasting resistor 整流电阻 Ball bond 球形键合 Band 能带 Band gap 能带间隙Barrier 势垒 Barrier layer 势垒层 Barrier width 势垒宽度 Base 基极 Base contact 基区接触 Base stretching 基区扩展效应 Base transit time 基区渡越时间 Base transport efficiency 基区输 运系数 Base-width modulation 基区宽度 调制Basis vector 基矢 Bias 偏置 Bilateral switch 双向开关 Binary code 二进制代码 Binary compound semiconductor 二元化合物半导体 Bipolar 双极性的 Bipolar Junction Transistor (BJT) 双极晶体管 Bloch 布洛赫 Blocking band 阻挡能带 Blocking contact 阻挡接触 Body - centered 体心立方 Body-centred cubic structure 体 立心结构 Boltzmann 波尔兹曼 Bond 键、键合 Bonding electron 价电子 Bonding pad 键合点 Bootstrap circuit 自举电路 Bootstrapped emitter follower 自 举射极跟随器 Boron 硼 Borosilicate glass 硼硅玻璃 Boundary condition 边界条件 Bound electron 束缚电子 Breadboard 模拟板、实验板 Break down 击穿 Break over 转折 Brillouin 布里渊 Brillouin zone 布里渊区 Built-in 内建的 Build-in electric field 内建电场 Bulk 体/ 体内 Bulk absorption 体吸收 Bulk generation 体产生 Bulk recombination 体复合 Burn - in 老化 Burn out 烧毁 Buried channel 埋沟 Buried diffusion region 隐埋扩散 区 C Caesar cipher 凯撒加密法 capacitance 电容 capture categorize 分类 chaining mode 链接模式 challenge 质询 cipher feedback 加密反馈 collision 冲突 combine 集成 compatibility n.[计]兼容性 component 原件 confidentiality 保密性 constraint 约束 corresponding to 相应的 Cryptography 密码学 Can 外壳Capacitance 电容 Capture cross section 俘获截面 Capture carrier 俘获载流子 Carrier 载流子、载波 Carry bit 进位位 Carry-in bit 进位输入 Carry-out bit 进位输出 Cascade 级联 Case 管壳 Cathode 阴极 Center 中心 Ceramic 陶瓷(的) Channel 沟道 Channel breakdown 沟道击穿 Channel current 沟道电流 Channel doping 沟道掺杂 Channel shortening 沟道缩短 Channel width 沟道宽度 Characteristic impedance 特征阻 抗 Charge 电荷、充电 Charge-compensation effects 电 荷补偿效应 Charge conservation 电荷守恒 Charge neutrality condition 电中 性条件 Charge drive/exchange/sharing/transfer/st 1

专业英语单词整理.wps

A a series of 一系列的 a set of 一组abnormalities 异常 acidic 酸的 acre 英亩 acreage 农场,面积actual 有效的 adapt 适合 adaptability 适应性address 解决 adequate 充足的 adhere 粘着 adopted 采用 advance 提升 advent 生效 adversely 负面的 aerated 通气良好的affected 感病 aggravate 加剧agronomic college 农学院agronomic 农作物agronomy 农学 alfalfa 苜蓿 algebra 代数 alkalinity 碱性 alleles 等位基因 alleviate 消除 alternative 可选择的amended 修正案 amino acid 氨基酸ammonia 铵 ammonium citrate柠檬酸铵ammonium nitrate二氧化氮ammonium 胺 amplify 扩增 anemia 贫血 anaerobic 无氧 analyze 分析,分解angiosperm 被子植物animal manures 动物厩肥anticipate 预计anticipate 预见到application 接种 application 应用 apply 施用 appropriate 适宜的 arrange 安排,排布 artificial mutation人工诱变 asexual 无性的 assist 帮助 associate 联合 associated with伴随…发生 assurance 保证 atmospheric 大气 authority 授权 availability 有效性 available p 有效磷 available soil moisture 土壤 有效水分 avoid 避免 B barley大麦 basic slag 托马斯磷肥 basis 基础 b-globin 球蛋白 binary fissi二分裂 binary 分裂 biology 生物学 biomass 生物量 bionic仿生学 bioplasm 原生质 biosphere 生物圈 biotechnology 生物技术 blasting 萎蔫 blend 混合 blight 枯萎 blood group 血型 blotting 杂交技术 breeder 育种学家 breeding basis 育种基础 breeding 育种 bruising 挫伤 b-thalassemia 地中海贫血 buckwheat荞麦 bulletin 公告 bushel 蒲式耳(量词) C calculate 计算出 canola 加拿大油菜 canopy 冠层 capacity 能力 carbon dioxide 二氧化碳 carbonates 碳酸盐 cash 兑现 certified合格的,经过认证 的 chain 链条 chance 漂变 channel 渠,引导 chemical 化学 chromosome 染色体 circumstances 区域 citrate-soluble 柠檬酸溶解 性磷 civil 国内的 civilian 平民的 civility 礼貌 civilizable 可文明化的 clay 粘质,粘土 climate 气候 climatic 气候上的 clone 克隆 close 缩短 co-dominance 共显性 codon 密码子 colloidal phosphate 焦庄磷 酸盐 combination 合作,排列 combination 混合物,综合 combine 联合 commercial 商用的 commercially 商业上 commit 趋近于 compaction 压实,紧实 competitive 竞争力 concentrated 集中的 concentration 含量 concentration 浓度

材料专业英文词汇

材料专业英文词汇(全) 来源:李硕的日志 化学元素(elements) 化学元素,简称元素,是化学元素周期表中的基本组成,现有113种元素,其中原子序数从93到113号的元素是人造元素。 物质(matter) 物质是客观实在,且能被人们通过某种方式感知和了解的东西,是元素的载体。 材料(materials) 材料是能为人类经济地、用于制造有用物品的物质。 化学纤维(man-made fiber, chemical fiber) 化学纤维是用天然的或合成的高聚物为原料,主要经过化学方法加工制成的纤维。可分为再生纤维、合成纤维、醋酯纤维、无机纤维等。 芯片(COMS chip) 芯片是含有一系列电子元件及其连线的小块硅片,主要用于计算机和其他电子设备。 光导纤维(optical waveguide fibre) 光以波导方式在其中传输的光学介质材料,简称光纤。 激光(laser) (light amplification by stimulated emission of radiation简写为:laser) 激光是利用辐射计发光放大原理而产生的一种单色(单频率)、定向性好、干涉性强、能量密度高的光束。 超导(Superconduct) 物质在某个温度下电阻为零的现象为超导,我们称具有超导性质的材料为超导体。 仿生材料(biomimetic matorials) 仿生材料是模仿生物结构或功能,人为设计和制造的一类材料。 材料科学(materials science) 材料科学是一门科学,它从事于材料本质的发现、分析方面的研究,它的目的在于提供材料结构的统一描绘,或给出模型,并解释这种结构与材料的性能之间的关系。 材料工程(materials engineering) 材料工程属技术的范畴,目的在于采用经济的、而又能为社会所接受的生产工艺、加工工艺控制材料的结构、性能和形状以达到使用要求。 材料科学与工程(materials science and engineering) 材料科学与工程是研究有关材料的成份、结构和制造工艺与其性能和使用性能间相互关系的知识及这些知识的应用,是一门应用基础科学。材料的成份、结构,制造工艺,性能及使用性能被认为是材料科学与工程的四个基本要素。

材料科学基础常用英语词汇

材料科学基础常用英语词汇 材料的类型Types of materials, metals, ceramics, polymers, composites, elastomer 部分材料性质复习Review of selected properties of materials, 电导率和电阻率conductivity and resistivity, 热导率thermal conductivity, 应力和应变stress and strain, 弹性应变elastic strain, 塑性应变plastic strain, 屈服强度yield strength, 最大抗拉强度ultimate tensile strength, 最大强度ultimate strength, 延展性ductility, 伸长率elongation, 断面收缩率reduction of area, 颈缩necking, 断裂强度breaking strength, 韧性toughness, 硬度hardness, 疲劳强度fatigue strength, 蜂窝honeycomb, 热脆性heat shortness, 晶胞中的原子数atoms per cell,

点阵lattice, 阵点lattice point, 点阵参数lattice parameter, 密排六方hexagonal close-packed, 六方晶胞hexagonal unit cell, 体心立方body-centered cubic, 面心立方face-centered cubic, 弥勒指数Miller indices, 晶面crystal plane, 晶系crystal system, 晶向crystal direction, 相变机理Phase transformation mechanism: 成核生长相变nucleation–growth transition, 斯宾那多分解spinodal decomposition, 有序无序转变disordered-order transition, 马氏体相变martensite phase transformation,成核nucleation, 成核机理nucleation mechanism, 成核势垒nucleation barrier, 晶核,结晶中心nucleus of crystal, (金属组织的)基体quay, 基体,基块,基质,结合剂matrix, 子晶,雏晶matted crystal, 耔晶,晶种seed crystal, 耔晶取向seed orientation,

电力系统_专业英语词汇

电力系统power system 发电机generator 励磁excitation 励磁器exciter电压voltage 电流current 升压变压器step-up transformer 母线bus 变压器transformer 空载损耗:no-load loss铁损:iron loss 铜损:copper loss 空载电流:no-load current无功损耗:reactive loss有功损耗:active loss 输电系统power transmission system 高压侧high side 输电线transmission line 高压: high voltage低压:low voltage 中压:middle voltage 功角稳定angle stability稳定stability 电压稳定voltage stability 暂态稳定transient stability 电厂power plant 能量输送power transfer 交流AC直流DC 电网power system 落点drop point 开关站switch station 调节regulation 高抗high voltage shunt reactor并列的:apposable 裕度margin 故障fault 三相故障three phase fault分接头:tap 切机generator triping 高顶值high limited value 静态static (state) 动态dynamic (state)自动电压调节器AVR Automatic voltage regulator 电抗reactance 电阻resistance 功角power angle 有功(功率)active power 电容器:Capacitor电抗器:Reactor 断路器:Breaker 电动机:motor 功率因数:power-factor 定子:stator 阻抗电压:阻抗:impedance功角:power-angle 电压等级:voltage grade 有功负载: active load/PLoad无功负载:reactive load档位:tap position 电阻:resistor电抗:reactance 电导:conductance 电纳:susceptance上限:upper limit 下限:lower limit 正序阻抗:positive sequence impedance负序阻抗:negative sequence impedance零序阻抗:zero sequence impedance 无功(功率)reactive power功率因数power factor 无功电流reactive current 斜率slope额定rating 变比ratio 参考值reference value电压互感器PT 分接头tap 仿真分析simulation analysis下降率droop rate 传递函数transfer function 框图block diagram受端receive-side 同步synchronization 保护断路器circuit breaker 摇摆swing 阻尼damping 无刷直流电机:Brushless DC motor 刀闸(隔离开关):Isolator机端generator terminal 变电站transformer substation 永磁同步电机:Permanent-magnet Synchronism Motor 异步电机:Asynchronous Motor 三绕组变压器:three-column transformer ThrClnTrans 双绕组变压器:double-column transformer DblClmnTrans 固定串联电容补偿fixed series capacitor compensation 双回同杆并架double-circuit lines on the same tower 单机无穷大系统one machine - infinity bus system 励磁电流:magnetizing current 补偿度degree of compensation Electromagnetic fields 电磁场失去同步loss of synchronization 装机容量installed capacity无功补偿reactive power compensation故障切除时间fault clearing time 极限切除时间critical clearing time 强行励磁reinforced excitation 并联电容器:shunt capacitor < 下降特性droop characteristics 线路补偿器LDC(line drop compensation) 电机学Electrical Machinery 自动控制理论Automatic Control Theory电磁场Electromagnetic Field微机原理Principle of Microcomputer 电工学Electrotechnics Principle of circuits 电路原理Electrical Machinery 电机学 电力系统稳态分析Steady-State Analysis of Power System 电力系统暂态分析Transient-State Analysis of Power System 电力系统继电保护原理Principle of Electrical System’s Relay Protection 电力系统元件保护原理Protection Principle of Power Syste m’s Element

相关文档
最新文档