数字电路答案第八章

数字电路答案第八章
数字电路答案第八章

第八章脉冲产生与整形

在时序电路中,常常需要用到不同幅度、宽度以及具有陡峭边沿的脉冲信号。事实上,数字系统几乎离不开脉冲信号。获取这些脉冲信号的方法通常有两种:直接产生或者利用已有信号变换得到。

本章主要讨论常用的脉冲产生和整形电路的结构、工作原理、性能分析等,常见的脉冲电路有:单稳态触发器、施密特触发器和多谐振荡器。

第一节基本知识、重点与难点

一、基本知识

(一)常用脉冲产生和整形电路

1. 施密特触发器

(1)电路特点

施密特触发器是常用的脉冲变换和脉冲整形电路。电路主要有两个特点:一是施密特触发器是电平型触发电路;二是施密特触发器电压传输特性具有回差特性,或称滞回特性。

输入信号在低电平上升过程中,电路输出状态发生转换时对应的输入电平称为正向阈值电压U T+,输入信号在高电平下降过程中,电路状态转换对应的输入电平称为负向阈值电压U T-,U T+与U T-的差值称为回差电压ΔU T。

(2)电路构成及参数

施密特触发器有多种构成方式,如:门电路构成、集成施密特触发器、555定时器构成。主要电路参数:正向阈值电压U T+、负向阈值电压U T-和回差电压ΔU T。

(3)电路应用

施密特触发器主要应用范围:波形变换、波形整形和幅度鉴别等。

2. 单稳态触发器

(1)电路特点

单稳态触发器特点如下:

①单稳态触发器有稳态和暂稳态两个不同的工作状态;

②在外加触发信号的作用下,触发器可以从稳态翻转到暂稳态,暂稳态维持一段时间,自动返回原稳态;

③暂稳态维持时间的长短取决于电路参数R和C。

(2)电路构成及参数

单稳态触发器有多种构成方式,如:门电路构成的积分型单稳态触发器、门电路构成的微分型单稳态触发器、集成单稳态触发器、555定时器构成的单稳态触发器等。主要电路参数:暂稳态的维持时间t w、恢复时间t re 、分辨时间t d、输出脉冲幅度U m。

(3)电路应用

单稳态触发器主要应用范围:定时、延时、脉冲波形整形等。

3. 多谐振荡器

多谐振荡器是一种自激振荡器,接通电源后,就可以自动产生矩形脉冲,是数字系统中产

生脉冲信号的主要电路。

(1)电路特点

多谐振荡器特点如下:电路没有稳态,只有两个暂稳态;多谐振荡器不需要外加触发信号,电路会自动产生矩形脉冲。

(2)电路构成及参数

多谐振荡器有多种构成方式,如:门电路构成的对称式多谐振荡器、门电路构成的带RC 延迟电路的环形振荡器、晶体振荡器、555定时器构成的多谐振荡器等。多谐振荡器的主要参数有:振荡频率、占空比、输出幅度。

(二)555集成定时器

555集成定时器是一种多用途的数模混合电路。利用555集成定时器可以非常方便地构成各种脉冲产生和整形电路,使用灵活、方便,应用范围广。

(1)电路结构及其工作原理 555集成定时器由电阻分压器、比较器、基本RS 触发器、驱动器和放电管等部分组成。555集成定时器根据输入信号电压幅值的不同,将产生不同的电压输出、放电管不同的工作状态。

555集成定时器的引脚名称和功能如表8.1所示。

555集成定时器的功能如表8.2所示。

(2)应用电路

555集成定时器可以方便地构成施密特触发器、单稳态触发器、多谐振荡器等脉冲产生和整形电路。典型电路如图8.1所示。

表8.2 555集成定时器功能表

图8.1

(a )施密特触发器 (b )单稳态触发器 (c )多谐振荡器

T

U u O

0.01μF T

U u O 0.01μu I T

U u O

0.01μu I

二、重点与难点

重点:

1. 脉冲产生与整形电路的工作原理

施密特触发器、单稳态触发器和多谐振荡器典型电路的工作原理、波形分析、电路参数与性能的定性分析。

2. 555定时器

555定时器的基本结构、引脚功能、典型应用。 3. 脉冲电路的分析方法

无论脉冲电路的具体结构如何,凡是含有RC 元件的脉冲电路,分析的关键都是电容的充放电过程,分析的关键点在于电容充放电过程中电压变化对门电路的输入端的影响。

难点:

脉冲电路的分析方法是本章的难点。脉冲电路的分析采用的是非线性电路中过渡过程的分析方法,另外,在分析过程中还要考虑门电路在不同输入信号情况下,对输出信号状态的影响。

三、考核题型与考核重点

1. 概念与简答

题型1为填空、判断和选择; 题型2为叙述基本概念与特点。 建议分配的分数为2~4分。 2. 综合与设计

题型1根据已知脉冲电路,分析其工作原理,画出电路中各关键点的信号波形以及输出波形的参数计算等;

题型2根据需要选择合理的脉冲电路; 题型3分析在应用系统中脉冲电路的作用。 建议分配的分数为5~10分。

第二节 典型题解

例题8.1 分析例题8.1图(a )所示脉冲电路的工作原理,设门电路均为TTL 电路,其阈值电压为U TH ;设二极管的导通电压为U D 。说明电路的功能,画出电路的电压传输特性。

(a )

(b )

u O u I 例题8.1图 u I

u O

U TH U TH -U D U OL U OH O 3

解:当输入电压u I =0时,二极管D 导通,门G 3输出高电平,门G 2输出低电平,u O =0。 假设u I 开始上升,如果u I <U TH ,门电路的输出状态不会改变,u O 维持不变,u O =0。 u I 逐渐升高,当u I ≥U TH ,门G 1输出低电平,使门G 2输出高电平,因此门G 3输出变为低电平,输出由低电平变为高电平,u O =U OH 。

如果u I 继续升高,门电路的输出状态不会改变,u O 维持不变,u O =U OH 。

当u I 逐渐降低,当u I 等于略小于U TH ,门G 1输出高电平,u O 仍然维持不变,u O =U OH 。 u I 继续降低,当u I ≤U TH -U D ,门G 3输出变为高电平,门G 1已经输出高电平,所以门G 2

输出变为低电平,输出由低电平变为高电平,u O =U OH 。

该电路是电平触发的施密特触发器,其中:U T+ =U TH 、U T - =U TH -U D 、回差电压ΔU T =U D 。 根据上述分析,画出该电路的电压传输特性如例题8.1图(b )所示。

例题8.2 分析例题8.2图所示电路的工作原理,这是由555定时器构成的开机延时电路,开关S 为常闭开关。若已知电路参数C =33uF ,R =59k Ω,U DD =12V ,试计算该电路的延时时间。

解:开关S 闭合时,②和⑥引脚输入高电

平U DD ,因此u O 低电平,电容C 上没有电压。

当开关S 断开时,U DD 开始对电容C 充电, 随着充电过程的进行,②和⑥引脚的输入电压 逐渐降低,当低到DD 3

1

U 时,u O 由低电平变为

高电平。

结论:当开关S 断开时,电路延迟了一段 时间,u O 才发生状态的改变,由低电平变为高 电平,实现了开机延时的功能。

②和⑥引脚,即电阻R 上的起始电压 U R (0+)= U DD 电阻R 上的稳态电压 U R (∞)= 0 时间常数 τ=RC 求电阻R 上的电压瞬时值

u R (t )= U R (∞)+[ U R (0+)-U R (∞)]τ

t e -

≈U DD τ

t e

-

计算u R (t )达到DD 3

1U 时的延时时间t w :

DD 3

1U = U DD τw

t

e -

t W =τln DD DD 3

U U = RC ln3=59×33×10-

3×ln3=2.1s

例题8.3 分析例题8.3图(a )所示555定时器构成的压控振荡器,画出u C 和u O 波形,试求输入控制电压u I 和振荡周期之间的关系,当输入电压u I 升高时,振荡频率升高还是降低?

解:接通电源瞬间,电容C 上没有电压,此时u C =0V ,因此输出u O 高电平,⑦引脚截止。

电容充电,由U DD 经R 1、R 2对C 充电,充电时间常数τ充= (R 1+R 2)C 。随着充电过程的进行,u C 逐渐升高,当u C ≥u I 时,u O 由高电平变为低电平,⑦引脚导通。

电容放电,电容C 经过⑦引脚放电,放电时间常数τ放=R 2C ,随着放电过程的进行,u C

逐渐下降,当下降到u C ≤u I /2时,u O 由低电平变为高电平,⑦引脚截止。

电容再次充电,电路重复上述过程,进入下一个周期,电路输出周期性的矩形脉冲。u C 和u O 波形如例题8.3图(b )所示。

例题8.2图

T

U u O

求充电时间T 1:u C (0+)= u I /2,u C (∞)= U DD ,τ=(R 1+R 2)C ,u C (T 1)= u I 。

()DD

I DD I 21121

ln

U u U u C R R T --+= 求放电时间T 1:u C (0+)= u I ,u C (∞)= 0,τ=R 2C ,u C (T 2)= u I /2。

I I

222

1ln

u u C R T = 振荡周期T= T 1 +T 2,计算结果:

()2ln 21

ln 2DD

I DD I 21C R U u U u C R R T +--+= 由上述分析可知,当输入电压u I 升高时,振荡周期变大,振荡频率降低。

第三节 题解

自我检测题解

题8.1答:施密特触发器属于 电平触发 型电路。

题8.2答:RC 积分电路与微分电路在脉冲电路中起着 暂稳态 的作用。单稳态触发器具有 1 组定时电路;多谐振荡器具有 2 组定时电路;双稳态触发器 没有 定时电路。

题8.3答:单稳态触发器从稳态翻转到暂稳态取决于 输入触发信号 ,从暂稳态翻转到稳态取决于 RC 定时电路 。

题8.4答:单稳态触发器和多谐振荡器中的暂稳态时间与 RC 时间常数 成正比。

题8.5答:双极型555定时器可直接驱动较大功率的负载,因为其输出级的驱动电流可以达到 200mA 。

题8.6答:从实用的角度看,555定时器可以取代 A 。

(A )机械式定时器; (B )闹钟;

(C )学校自动打铃控制器; (D )电冰箱控制电路。

题8.7答:用石英晶体谐振器代替对称多谐振荡器中的一个电容,另一个电容之值应 A 。

(A )加大; (B )减小;

(C )不变; (D )也换成石英晶体谐振器。

例题8.3图

(a ) (b ) T U

u O

C

R R u u I

O

t

u C

u I

u I O 21

t

u O

T 1

T 2 T

题8.8答:将一方波信号变换为相同重复周期的矩形窄脉冲,例如将脉冲宽度为10ms的方波信号变换为脉冲宽度为1ms的矩形脉冲,可采用A 。

(A)单稳态触发器;(B)施密特触发器;

(C)十进制计数器;(D)十进制加法器。

题8.9答:将一重复频率为1024kHz的脉冲信号分频为4kHz的脉冲信号,主体电路可采用C 。

(A)单稳态触发器;(B)双稳态触发器;

(C)二进制计数器;(D)十进制分频器。

题8.10答:下列产品中属于CMOS电路的有C、D 。

(A)NE555;(B)ICL556;

(C)MC 7555;(D)CA7556。

思考题题解

题8.1 单稳态触发器中可重复触发和不可重复触发各是什么含义?

答:可重复触发的单稳态指的是在暂稳态的过程中,可以再次响应触发信号;不可重复触发的单稳态指的是在暂稳态的过程中,不能响应触发信号。

题8.2 电平触发与边沿触发有何不同?二者分别来自哪些电路?

答:当输入信号电位达到某一数值时,使输出信号改变,为电平触发;当输入信号跳变时,使输出信号改变,为边沿触发。例如,施密特触发器为电平触发方式,单稳态触发器为边沿触发方式。

题8.3Watching Dog电路适合用在那些系统?

答:为防止系统程序死循环的、具有CPU的小型电子系统。

题8.4 试举出施密特触发器应用的几个例子。

答:施密特触发器可用于波形变换,例如将三角波、正弦波变换为矩形波。用于信号整形,例如在传真机的信号输入端口,信号首先需要将传输过程中出现的毛刺干扰去除,经过施密特触发器可以将不规则的信号波形整形成矩形脉冲。施密特触发器还可以用于幅度鉴别等场合。

题8.5 多谐振荡器与模拟电路中学习的正弦波振荡器有何异同?现有一正弦电压信号,试用多种方法将其转换为方波。

答:数字电路中学习的多谐振荡器与模拟电路中学习的正弦波振荡器比较,两者产生的信号不同,前者产生矩形波,后者产生正弦波;两者电路组成不同,前者用数字器件或数模混合器件构成,后者用模拟器件构成。

将正弦电压信号转换为方波可以采用数字电路中学习的施密特触发器、模拟电路中学习的鉴幅电路、比较器等。

题8.6 脉冲波形的上升时间和下降时间是怎样规定的?脉冲宽度又是怎样计算的?

答:脉冲上升沿从10%U m上升到90%U m所需要的时间为脉冲波形的上升时间,其中U m 是脉冲幅度。

脉冲下降沿从90%U m下降到10%U m所需要的时间为脉冲波形的下降时间。

脉冲宽度t W指的是从脉冲上升沿的50%U m起到脉冲下降沿的50%U m为止的时间。

题8.7 在第三章和第四章中,将数字逻辑电路分为组合逻辑电路和时序逻辑电路。你考虑本章适合这样的分类吗?如果你认为适合,又应分到哪一类呢?为什么?

答:本章讨论的是脉冲电路,讨论的是脉冲波形的产生与整形,而数字逻辑电路重点讨论的是输出信号与输入信号之间的逻辑关系。因此脉冲电路不适合组合电路和时序电路的分类。

题8.8本章中的惰性元件全部采用电容,你想到过使用电感吗?试分析一下这个问题。

答:电感是可以的。但是电感的电流逐步增加,电感的电压出现突变,并且使用不方便。

题8.9 对于含有RC元件的脉冲电路,分析的关键和关键连接点是什么?试举例说明。

答:分析含有RC元件脉冲电路的关键是RC充放电回路;RC充放电回路中变化电压与门电路输入端的连接是关键连接点。

例如,如思考题9图(a )所示,是施密特触发器构成的多谐振荡器,分析其工作原理。

分析过程中要注意:一是RC 充放电回路;二是RC 充放电回路中变化电压对施密特触发器输入端电压的影响;三是明确施密特触发器的电压传输特性。

设施密特触发器u O 为高电平U OH ,此时,向电容C 充电,充电支路为U OH →

R →C →地,充电过程中u C 逐渐升高,即施密特触发器输入端电压升高,当u C 升高到正向阈值电压U T+时,触发器发生状态翻转,u O 由高电平U OH 变为低电平U OL 。电容充电过程结束,电容进入放电过程,放电支路为C →R →U OL ,放电过程中u C 逐渐降低,触发器输入端电压降低,当u C 降低到负向阈值电压U T -时,触发器再次发生状态翻转,u O 由低电平U OL 变为高电平U OH ,电容结束放电,重新进入充电过程。关键点u C 的变化波形以及u O 输出端波形如思考题9图(b )所示。

10. 本章介绍的集成电路都是中、小规模集成电路。请尝试从其他相关课程所涉及的大规模和超大规模集成电路中找到功能相同或相似的组成部分。

答:8031芯片中具有振荡器,只需外接电容和电阻就可以产生周期振荡。另外一些超大规模的可编程逻辑器件中设置了振荡电路。

习题题解

习题8.1 如习题8.1图(a )所示单稳态触发器,稳态时u o =0.3V 。试回答如下问题: 1.稳态时T 处于什么状态?如何设计电路参数,以保证这一状态? 2.定性画出在触发信号作用下,A 、B 二点与输出电压u o 的波形。 3.计算暂稳态的维持时间t W 。

解:1. 由于稳态时u O =0. 3V ,因此T 处在饱和状态。为保证稳态时三极管的饱和状态,电路参数应满足关系式:R c ≤βR b ,其中β是三极管的电流放大系数。

思考题9图 C (a ) u u O (b )

O t

u C U T-

U T+ O

t

u O

U OL

U OH

习题8.1图 (a ) u O

(b )

A u I

U OL

U OH

u O B U OH -U OL 0.7

2. 在触发信号作用下,A 、B 两点与输出电压u O 的波形如习题8.1图(b )所示。

3. 计算暂稳态的维持时间t W :

B 点初始电压 U B (0+)=0.7-(U OH -U OL ) B 点终止电压 U B (∞)= U CC

时间常数 τ=R b C (为简便起见,忽略电路中其他电阻的影响) 可得B 点电压瞬时值

u B (t )= U B (∞)+[ U B (0+)-U B (∞)]τ

t

e -≈U CC -(U CC +U OH )τ

t

e

-

设u B (t )达到0V 时,暂稳态结束 0= U CC -(U CC +U OH )τ

t e -

t W =τln

CC OH CC U U U += R b C ln CC

OH

CC U U U +

习题8.2 积分型单稳态触发器如习题8.2图(a )所示,其中t A =5us ,R =300Ω,C =1nF 。 1.分析电路的工作原理;

2.画出u I 、u 1、u 2和u O 的波形;

3.积分型单稳态触发器工作过程中是否存在正反馈?

解:1. 工作原理分析

稳态下,由于u I =0,所以u O = U OH ,u 1=u 2= U OH 。

输入正脉冲后,经反相后u 1跳变为低电平,由于电容上的电压不能突变,所以在一段时间里u 2仍然是高电平。在u 2维持高电平期间,由于输入信号u I 也是高电平,因此u O =U OL ,电路进入暂稳态,且电容进入放电阶段。

随着电容的放电,u 2电压不断降低,当u 2降到U TH 后,u o 重新回到高电平U OH 。 当u I 重新返回到低电平时,u 1变为高电平U OH , 并向电容充电,经过一段时间,u 2恢复至高电平 U OH ,电路达到稳态。

2. 电路中u I 、u 1、u 2和u O 的波形如习题8.2图 (b )所示。

3. 由上述工作原理分析可知,积分型单稳态触 发器工作过程中不存在正反馈。 习题8.3 集成单稳74221的定时电路如习题8.3

图所示,电路参数如下:电容C 为1uF ,R 为5.1k Ω

的电阻和20k Ω的电位器串联。

1. 试估算t W 的变化范围;

2. 为什么使用电位器时要串接一个电阻?

解:1. t W 计算公式为:t W =0.7(R+R W )C

其中,C =1μF ,R =5.1K Ω,R W =20k Ω,将数据

习题8.2图

(a ) (b )

u 1 u I

u O u 2 U TH

C R W U CC 习题8.3图

代入方程,计算结果为t W = 0.7(5.1+0~20)×10-

3 =3.6~17.6ms 。

因此,暂稳态的维持时间t W 的变化范围为3.6 ms ~17.6ms 。

2.由于电位器串联在电源正极与74221集成电路的一个外接元件引脚,当调节电位器阻值最小时,电源与该引脚短路,易损坏集成电路。因此,串联电阻,防止短路现象的出现。

习题8.4 如习题8.4图(a )是由两个CMOS 非门组成的施密特触发器。已知R 1=100k Ω,R 2=200k Ω,U DD =6V ,u i 为0~6V 的三角波。试分析其工作原理并画出u i 、u 1、u 2和u o 的波形。

解:当输入低电平u i =0时,u 1=0,经反相器u 2=U OH = U DD ,则u o =U OL =0。

当输入u i 逐渐增加,u i 增加到使u 1= U TH 时,反相器的输出发生改变,u 2由高电平U DD 跳变为低电平,经反相器反相后,u o 由低电平跳变为高电平U DD 。

求输出发生跳变时对应的输入电压U T+:

由电路已知TH 221

T U R R R U +=+,设DD TH 2

1

U U =,代入已知参数,求得U T+=4.5V 。 当输入u i 继续增加时,u o 维持高电平U DD 不变。

输入u i 开始降低,u i 降低到使u 1= U TH 时,反相器的输出发生改变,u 2由低电平跳变为高电平U DD ,经反相后,u o 由高电平U DD 跳变为低电平。

求输出发生跳变时对应的输入电压U T -:

由电路已知)(DD T 212DD TH U U R R R U U -+=

--,设DD TH 21

U U =,代入已知参数,求得U T -=1.5V 。

当输入u i 继续降低时,u o 维持低电平不变。该电路是同相输出的施密特触发器。 电路中u i 、u 1、u 2和u o 的波形如习题8.4图(b )所示。

习题8.5 由施密特触发器构成的脉冲展宽电路如习题8.5图(a )所示,试分析其工作原理并画出u 1和u o 的波形。

习题8.5图

U DD

u O

(a)

(b )

t

u i u 1 t

u O

t

U T+

习题8.4图

u (a ) u O (b ) t

u i

6 O

4.5

1.5

t

U TH

u 1 u 2 t

u O t

解:输入u i 低电平时,二极管D 截止,U DD 对电容C 充电达到稳态值,u 1为高电平U DD ,此时,输出u o 低电平U OL 。

输入u i 跳变为高电平时,瞬间电容上的电压不能跳变,因此u 1仍为高电平U DD 。由于二极管D 瞬间正偏且导通,电容上的电压沿二极管到地瞬间放电,输出u o 瞬间变为高电平U OH 。

输入高电平结束,二极管截止。沿U DD →R →C →地向电容充电,u 1逐渐升高,当u 1升高到施密特触发器的正向阈值电压U T+时,输出u o 由高电平变为低电平U OL 。

输出高电平的维持时间比输入高电平时间要长,长出的时间取决于电容充电时间的长短,因此该电路输出脉宽比输入信号脉宽要宽,故是脉冲展宽电路。u i 、u 1和u o 的波形如习题8.5图(b )所示。

习题8.6 如习题8.6图电路,R =1k Ω,C =2uF ,TTL 门中多发射极管构成的与门电阻R 1=3k Ω。试问:

1.输入端A 有何作用?

2.电路输出脉冲的周期是多少?

3.电路的频率稳定性如何?怎样提高其频率稳定度? 解:1. 当A 端为低电平时,与门电路输

出始终为高电平,因此Y 信号不变,电路不 产生输出脉冲。只有当A 端为高电平时,电 路才会产生脉冲信号。因此,A 是产生脉冲

输出的控制信号。

2. 电路输出脉冲的周期T ≈1.4RC ,代入

已知参数:R =1k Ω,C =2uF ,求得T ≈2.8ms 。

3. 该电路的频率主要取决于门电路输入 电压在充放电过程中达到电平转换所需要的

时间,所以电路的频率稳定性不高。提高其频率稳定度的最佳途径是在振荡电路中接入石英晶体,构成石英晶体振荡器。

习题8.7 设计一TTL 门电路构成的RC 环形振荡器,要求f o ≈500Hz 。 求:1.确定R 与C 值;

2.修改电路,使波形占空比准确等于50%。

解:1. 由TTL 门电路构成的RC 环形振荡器电路如习题8.7图(a )所示。

T ≈2.2RC ,其中f ≈500Hz ,设R =10k Ω,计算求得C ≈0.022uF

2. 修改电路的原则:采取措施使电容充放电走两个不同的电阻支路,分别调整电阻的阻值使输出信号的占空比符合要求。修改电路如习题8.7(b )所示,分别调整R 1、R 2电阻的阻值使输出信号的占空比等于50%。

习题8.8 由多谐振荡器、D 触发器和反相积分器组成的电路如习题8.8图(a )所示。试分析其工作原理并定性画出u 1、u 2和u o 的波形。

解:电路分为三个组成部分:反相器和RC 组成的多谐振荡器、接成计数状态的D 触发器以及运算放大器构成的反相积分器。

多谐振荡器产生矩形脉冲信号输出,经反相器产生u 1信号。 u 1作为D 触发器的脉冲信号,D 触发器接成计数状态(Q D ),u 2是D 触发器的输出端,在u 1每个上升沿处u 2翻转一次。

Y

习题8.6图 习题8.7图 (a ) (b )

u O

u O

u 2作为反相积分器的输入信号,u o 为反相积分器的输出信号。

依据上述分析,定性画出u 1、u 2和u o 的波形如习题8.8图(b )所示。

习题8.9 由555工作波形。

解:在接通电源的瞬间,设电容起始电压为0,因此②和⑥引脚的电位u C =0,输出电压u o

为高电平,⑦引脚截止,电源U CC 经R 1、R 2对C 充电,充电时间常数τ充= (R 1+R 2

)·C ,u C 逐渐升高,这是电路的一个暂稳态。

当u C 逐渐升高到u C ≥

3

2

U CC 时,u o 由高电平变为低电平,⑦引脚与接地端导通,电容C 经电阻R 2放电,放电时间常数τ放

=R 2C ,u C 逐渐降低,这是电路的另一个暂稳态。

当u C 逐渐降低到u C ≤3

1

U CC 时,u o 由低电平变为高电平,⑦引脚截止,电源经R 1、R 2再

次对C 充电,重复上述过程,在u o 端得到矩形波脉冲电压如习题8.9图(b )所示。

多谐振荡器的振荡周期T = t w1+ t w2。

其中t w1是电容C 上的电压由

31

U CC 充电到3

2U CC 所需的时间,t w1估算为: C R R C R R U U U U C R R t u u u u t )(7.02ln )(3

231ln )()

()()0()(ln 2121CC

CC CC CC 21W1C C C C 1

W +≈+=--+=-∞-∞=+

τ

t w2是电容C 上的电压由

3

2U CC 下降到31

U CC 所需的时间, t w2估算为:

(b ) t

u 1 u 2 t u o

t (a ) (b )

0V

t W2

0V U CC 2U CC /3 U CC /3 U CC

t W1 T

C R C R U U C R t u u u u t 22CC

CC

2W2C C C C W2

7.02ln 3

10320ln )

()()0()(ln ≈=--=-∞-∞=+

τ

多谐振荡器的振荡周期T 为:

T = t w1+ t w2≈0.7(R 1+2R 2)C

振荡频率f 为:

C

R R T f )2(7.01121+==

习题8.10 由555定时器组成的逻辑电平检测装置如习题8.10图所示,其中U C 调到2.4V 。试回答以下问题:

1. 555定时器接成了什么电路?

2. 可检测的逻辑高、低电平各是多少?

3. 检测到高、低电平后,两个发光二极管如何点亮? 解:1. 由习题8.10图可知电路连接: 555定时器的两个输入端②和⑥引脚连在 一起作为输入信号端u i ,⑤引脚的电压控 制端连接U C =2.4V ,③引脚是定时器的输 出与发光二极管相连。

分析u i 从0逐渐变大时信号的变化过 程如下: 当C 2

1

U u i <时,低电平触发端②和

高电平触发端⑥均C 2

1

U <,定时器输出高

电平。

当C C 21U u U i <<时,②和⑥端电压均在C C 2

1U U ~范围内变化,定时器输出状态保持高电平不变;

当C U u i >时,②和⑥端电压均大于U C ,定时器输出由高电平变为低电平。 当u i 从高于U C 逐渐变小时输出信号的变化过程如下: 当C C 2

1U u U i <<时,定时器输出状态保持低电平不变; 当C 2

1U u i <时,定时器输出由低电平变为高电平。

由此分析可知,该电路是施密特触发器,其正向阈值电压C T U U =+,负向阈值电压

C T 21U U =-,回差电压C 2

1

U U =?。

2. 该电路可检测的逻辑高、低电平各是U C 和

2

1

U C 。 3. 检测到高电平后,定时器输出由高电平变为低电平,所以LED 1亮、LED 2灭。检测到低电平后,定时器输出由低电平变为高电平,所以LED 1灭、LED 2亮。

u i

数字电路经典笔试题目汇总

数字电路笔试汇总 2、什么是同步逻辑和异步逻辑?(汉王笔试) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 電路設計可分類為同步電路和非同步電路設計。同步電路利用時鐘脈衝使其子系統同步運作,而非同 步電路不使用時鐘脈衝做同步,其子系統是使用特殊的“開始”和“完成”信號使之同步。由於非同步電 路具有下列優點--無時鐘歪斜問題、低電源消耗、平均效能而非最差效能、模組性、可組合和可複用性-- 因此近年來對非同步電路研究增加快速,論文發表數以倍增,而Intel Pentium 4處理器設計,也開始採用 非同步電路設計。 异步电路主要是组合逻辑电路,用于产生地址译码器、FIFO或RAM的读写控制信号脉冲,其逻 辑输出与任何时钟信号都没有关系,译码输出产生的毛刺通常是可以监控的。同步电路是由时序电路(寄存 器和各种触发器)和组合逻辑电路构成的电路,其所有操作都是在严格的时钟控制下完成的。这些时序电路 共享同一个时钟CLK,而所有的状态变化都是在时钟的上升沿(或下降沿)完成的。 3、什么是"线与"逻辑,要实现它,在硬件特性上有什么具体要求?(汉王笔试) 线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用oc门来实现(漏极或者集电极开路),由于不用oc门可能使灌电流过大,而烧坏逻辑门,同时在输出端口应加一个上拉电阻。(线或则是下拉电阻) 4、什么是Setup 和Holdup时间?(汉王笔试) 解释setup和hold time violation,画图说明,并说明解决办法。(威盛VIA 2003.11.06 上海笔试试题) Setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信 号上升沿到来以前,数据稳定不变的时间。输入信号应提前时钟上升沿(如上升沿有效)T时间到达芯片,这个T就是建立时间-Setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下 一个时钟上升沿,数据才能被打入触发器。保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不 变的时间。如果hold time不够,数据同样不能被打入触发器。 建立时间(Setup Time)和保持时间(Hold time)。建立时间是指在时钟边沿前,数据信号需要保持不 变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果不满足建立和保持时间的话,那么DFF将不能正确地采样到数据,将会出现

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

数字电子技术试卷及答案(免费版)

第1页(共28页) 第2页(共28页) 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 本试卷共 6 页,满分100 分;考试时间:90 分钟;考试方式:闭卷 题 号 一 二 三 四(1) 四(2) 四(3) 四(4) 总 分 得 分 1. 有一数码10010011,作为自然二进制数时,它相当于十进制数( ),作为8421BCD 码 时,它相当于十进制数( )。 2.三态门电路的输出有高电平、低电平和( )3种状态。 3.TTL 与非门多余的输入端应接( )。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接( )电平。 5. 已知某函数??? ??+??? ??++=D C AB D C A B F ,该函数的反函数F =( ) 。 6. 如果对键盘上108个符号进行二进制编码,则至少要( )位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为( )V ,其输出高电平为( )V ,输出低电平为( )V , CMOS 电路的电源电压为( ) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( ) 。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( )根地址线,有( )根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( )位。 11. 下图所示电路中, Y 1=( );Y 2 =( );Y 3 =( )。 12. 某计数器的输出波形如图1所示,该计数器是( )进制计数器。 13.驱动共阳极七段数码管的译码器的输出电平为( )有效。 二、单项选择题(本大题共15小题,每小题2分,共30分) (在每小题列出的四个备选项中只有一个是最符合题目要求的,请将其代码填写在题后的括号内。错 选、多选或未选均无分。) 1. 函数F(A,B,C)=AB+BC+AC 的最小项表达式为( ) 。 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( )个。 A .16 B.2 C.4 D.8 4. 有一个左移移位寄存器,当预先置入1011后,其串行输入固定接0,在4个移位脉冲CP 作用下,四位数据的移位过程是( )。 A. 1011--0110--1100--1000--0000 B. 1011--0101--0010--0001--0000 C. 1011--1100--1101--1110--1111 D. 1011--1010--1001--1000--0111 5.已知74LS138译码器的输入三个使能端(E 1=1, E 2A = E 2B =0)时,地址码A 2A 1A 0=011,则输出 Y 7 ~Y 0是( ) 。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 6. 一只四输入端或非门,使其输出为1的输入变量取值组合有( )种。 A .15 B .8 C .7 D .1 7. 随机存取存储器具有( )功能。 A.读/写 B.无读/写 C.只读 D.只写 8.N 个触发器可以构成最大计数长度(进制数)为( )的计数器。 A.N B.2N C.N 2 D.2N 9.某计数器的状态转换图如下, 其计数的容量为( ) A . 八 B. 五 C. 四 D. 三 A B Y 1 Y 2 Y 3 000 001 010 011 100 101 110 111

数字电路试题及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = (11110.01 ) 2 = (1E.4 ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 1 。 3 . 三态门输出的三种状态分别为: 高电平 、 低电平 和 高阻态 。 4 . 主从型JK 触发器的特性方程 = 。 5 . 用4个触发器可以存储 4 位二进制数。 6 . 存储容量为4K×8位的RAM 存储器,其地址线为 条、数据线为 条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:( C )图。 2.下列几种TTL 电路中,输出端可实现线与功能的电路是(B )。 A 、或非门 B 、与非门 C 、异或门 D 、OC 门 3.对CMOS 与非门电路,其多余输入端正确的处理方法是(D )。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) B、 D、通过电阻接V CC 4.图2所示电路为由555定时器构成的(A )。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路(C)。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是(A )。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为(C)。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用(C)。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为(D )。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有(C )个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

数字电路第六章练习带答案

第六章(选择、判断、填空共19题) 一、选择题 1.脉冲整形电路有。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.555定时器 2.多谐振荡器可产生。 A.正弦波 B.矩形脉冲 C.三角波 D.锯齿波 3.石英晶体多谐振荡器的突出优点是。 A.速度高 B.电路简单 C.振荡频率稳定 D.输出波形边沿陡峭4.T T L单定时器型号的最后几位数字为。 A.555 B.556 C.7555 D.7556 5.555定时器可以组成。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K触发器 6.用555定时器组成施密特触发器,当输入控制端C O外接10V电压时,回差电压为。 A.3.33V B.5V C.6.66V D.10V 7.以下各电路中,可以产生脉冲定时。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.石英晶体多谐振荡器 二、判断题(正确打√,错误的打×) 1.施密特触发器可用于将三角波变换成正弦波。() 2.施密特触发器有两个稳态。() 3.多谐振荡器的输出信号的周期与阻容元件的参数成正比。() 4.石英晶体多谐振荡器的振荡频率与电路中的R、C成正比。() 5.单稳态触发器的暂稳态时间与输入触发脉冲宽度成正比。() 6.单稳态触发器的暂稳态维持时间用t W表示,与电路中R C成正比。()7.采用不可重触发单稳态触发器时,若在触发器进入暂稳态期间再次受到触发,输出脉宽可在此前暂稳态时间的基础上再展宽t W。() 8.施密特触发器的正向阈值电压一定大于负向阈值电压。() 三、填空题 1.555定时器的最后数码为555的是产品,为7555的是产品。

(完整版)数字电路自试题3答案

数字电路自测题3答案 一、填空题:(每空1分,共20分) 1.八进制数 (34.2 ) 8 的等值二进制数为 11100.01 ;十进制数 98 的 8421BCD 码为 10011000 。 2.试写出下列图中各门电路的输出分别是什么状态 (高电平、低电平) ?(其中(A )(B )为TTL 门电路,而(C )为CMOS 门电路) (A ) (B ) (C ) Y 1= 02 Y 2= 1 Y 3= 1 3.一个 JK 触发器有 2 个稳态,它可存储 1 位二进制数。 4. 单稳态触发器 有一个稳定状态和一个暂稳状态。 施密特触发器 有两个稳定状态、有两个不同的触发电平,具有回差特性。 多谐振荡器 没有稳定状态,只有两个暂稳态。以上三种电路均可由 555定时器 外接少量阻容元件构成。 5.常用逻辑门电路的真值表如右图所示,则 F 1 、F 2 、F 3 分别属于何种常用逻辑门。F 1 同或 ,F 2 与非门 ,F 3 或非 。 6.OC 门的输出端可并联使用,实现__线与____功能;三态门的输出状态有______0________、 1 、 高阻 三种状态。 7.时序逻辑电路的输出不仅和____输入 ___有关,而且还与___电路原来状态____有关。 二、选择题: (选择一个正确答案填入括号内,每题2分,共20分 ) 1.在四变量卡诺图中,逻辑上不相邻的一组最小项为:( D ) A .m 1 与m 3 B .m 4 与m 6 C .m 5 与m 13 D .m 2 与m 8 2.L=AB+C 的对偶式为:( B ) A B F 1 F 2 F 3 0 0 1 1 0 0 1 0 1 1 1 0 0 1 1 1 1 1 0 1

通用数字电路与数字电子技术课后答案第七章.doc

第七章 时序逻辑电路 1.电路如图P7.1所示,列出状态转换表,画出状态转换图和波形图,分析电路功能。 图P7.1 解: (1)写出各级的W.Z 。 D 1=21Q Q ,D 2=Q 1,Z=Q 2CP ( 2 ) 列分析表 ( 3 ) 状态转换表 (4 图7.A1 本电路是同步模3计数器。 2. 已知电路状态转换表如表P7.1所示,输入信号波形如图P7.2所示。若电路的初始状态为Q2Q1 = 00,试画出Q2Q1的波形图(设触发器的下降沿触发)。 Q 2 Q 1 D 2 D 1 Q 2n+1 Q 1n+1 Z 0 0 0 1 0 1 0 0 1 1 0 1 0 0 1 0 0 0 0 0 1 1 1 1 0 1 0 1 Q 2 Q 1 Q 2n+1 Q 1n+1 Z 0 0 0 1 0 0 1 1 0 0 1 0 0 0 1 1 1 1 0 1 CP 表P7.1 X Q 2 Q 1 0 1 00 01 10 11 01/1 10/0 10/0 01/1 11/1 10/0 11/0 00/1 CP X Q 1 0 Q 2 0 Z CP Q 1 0 Q 1 0 Z ( b ) Q 2 Q 1 /Z ( a ) 01/0 11/1 10/1 00/0

解:由状态转换表作出波形图 3. 试分析图P7.3所示电路,作出状态转换表及状态转换图,并作出输入信号为0110111110相应的输出波形(设起始状态Q 2Q 1 = 00 )。 ( a ) ( b ) 解:(1)写W.Z 列分析表 J 1 = XQ 2 J 2 = X Z =12Q Q X K 1 = X K 2 =1Q X ( 2 ) 作出状态转换表及状态转换图 X Q 2 Q 1 0 1 00 01 00/1 00/1 10/1 11/1 X Q 2 Q 1 J 2 K 2 J 1 K 1 Q 2n+1 Q 1n+1 Z 0 0 0 0 1 0 1 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 0 0 1 0 1 0 0 1 0 1 1 0 1 0 1 0 0 1 1 0 0 1 1 0 0 1 0 1 1 0 1 1 0 0 0 1 1 1 1 1 0 1 1 1 0 0 1 1 1 1 1 1 0 1 0 1 1 0 CP X 图P7.3 CP X Q 1 0 Q 1 0 Z 图P7.A2 0 /1 0 /1 0 /1 1/1 1/1 0/1 01 11 00

数字电路试题及答案

数字电路试题 一、单项选择题 1、以下代码中为无权码的为 ( ) A . 8421BCD 码 B . 5421BCD 码 C . 余三码 D .2421BCD 码 2、图示逻辑电路的逻辑式为 ( ) A .F=C B A ++ B .F= C B A ++ C .F=C B A D .F=ABC 3、下列关于异或运算的式子中,不正确的是 ( ) A .0A A =⊕ B . 1A A =⊕ C .A 0A =⊕ D .A 1A =⊕ 4、一个n 变量的逻辑函数应该有 个最小项 ( ) A .n B .n 2 C .n 2 D .2 n 5、若编码器中有50个编码对象,则要求输出二进制代码位数为 位。 ( ) A.5 B.6 C.10 D.50 6、在下列逻辑电路中,不是组合逻辑电路的是 。 ( ) A.译码器 B.编码器 C.全加器 D.寄存器 7、欲使JK 触发器按01 =+n Q 工作,可使JK 触发器的输入端 。 ( ) A.1==K J B.Q J =,Q K = C.Q J =,Q K = D.0=J ,1=K 8、同步时序电路和异步时序电路比较,其差异在于两者 。 ( ) A.没有触发器 B.是否有统一的时钟脉冲控制 C.没有稳定状态 D.输出只与内部状态有关 9、8位移位寄存器,串行输入时经 个脉冲后,8位数码全部移入寄存器中。 ( ) A.1 B.2 C.4 D.8 10、555定时器D R 端不用时,应当 。 ( ) A.接高电平 B.接低电平 C.通过F μ01.0的电容接地 D.通过小于Ω500的电阻接地 二、填空题 1、当传送十进制数5时,在8421奇校验码的校验位上值应为 。 2、(35.625)10=( )2=( )8=( )16 3、用反演律求函数D A D C ABC F ++=的反函数(不用化简)=F 。 4、消除竟争冒险的方法有 、 、 等。 5、触发器有 个稳态,存储8位二进制信息要 个触发器。 1 & A B C F 11

数字电子技术试卷试题答案汇总(完整版)

数字电子技术基础 试题库及答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是 , , 。 2、逻辑代数中三个基本运算规则 , , 。 3、逻辑函数的化简有 , 两种方法。 4、A+B+C= 。 5、TTL 与非门的u I ≤U OFF 时,与非门 ,输出 ,u I ≥U ON 时,与非门 ,输出 。 6、组合逻辑电路没有 功能。 7、竞争冒险的判断方法 , 。 8、触发器它有 稳态。主从RS 触发器的特性方程 , 主从JK 触发器的特性方程 ,D 触发器的特性方程 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲同

时加到所有触发器上 C、异步计数器不需要计数脉冲的控制8、下列说法是正确的是() A、施密特触发器的回差电压ΔU=U T+-U T- B、施密特触发器的回差电压越大,电 路的抗干扰能力越弱 C、施密特触发器的回差电压越小,电路的抗干扰能力越强 9、下列说法正确的是() A、多谐振荡器有两个稳态 B、多谐振荡器有一个稳态和一个暂稳态 C、多谐振荡器有两个暂稳态 10、下列说法正确的是() A、555定时器在工作时清零端应接高电平 B、555定时器在工作时清零端应接低电平 C、555定时器没有清零端 三、判断题(每题1分,共10分) 1、A+AB=A+B () 2、当输入9个信号时,需要3位的二进制代码输出。() 3、单稳态触发器它有一个稳态和一个暂稳态。() 4、施密特触发器有两个稳态。() 5、多谐振荡器有两个稳态。() 6、D/A转换器是将模拟量转换成数字量。() 7、A/D转换器是将数字量转换成模拟量。() 8、主从JK触发器在CP=1期间,存在一次性变化。() 9、主从RS触发器在CP=1期间,R、S之间不存在约束。() 10、所有的触发器都存在空翻现象。() 四、化简逻辑函数(每题5分,共10分) 1、 2、Y(A,B,C,)=∑m(0,1,2,3,4,6,8,9,10,11,14) 五、画波形图(每题5分,共10分) 1、 2、 六、设计题(每题10分,共20分)

数字电路实验报告第七章触发器

数字电路与逻辑设计基础实验 任课教师:陈志坚 实验名称:触发器(实验七) 云南大学信息学院 一、实验目的 ⑴学习触发器逻辑功能的测试方法 ⑵进一步熟悉RS触发器、集成D触发器和JK触发器的逻辑功能及其触发方式二、实验器材 ⑴直流稳压电源、数字逻辑实验箱 ⑵74LS00、74LS74、74LS76 三、实验内容和仿真

1.基本RS触发器 基本RS触发器用与非门74LS00构成,按图7-1接好线。在输入端加上不同的信号,通过发光二极管观察电路输出端的状态。把结果填入自制的表中。 图7-1 基本RS触发器图7-2 D触发器的预置和清零功能 用带预置和清除的双D型触发器74LS74来测试上升沿触发集成D型触发器的逻辑功能。先按图7-2接线,在时钟脉冲的不同电平状态,改变预置端PRE 和清除端CLR的信号,通过发光二极管观察触发器的输出状态。把结果填入自制的表中。然后,按图7-3接线,测试D触发器的逻辑功能。 在D触发器的逻辑功能测试中,先将数据输入端D分别置入“0”或“1”,再用清零端CLR和预置端PRE分别将触发器的输出端清除为“0”或置位为“1”,最后再用单脉冲按钮向触发器的时钟输入端CLK发出脉冲的上升边沿和下降边沿,同时观察电路输出端Q的输出状态,把结果填入表7-1中。 注意:清零和置位之后,清除端CLK和预置端PRE必须置成“1”状态。 图7-3 D触发器逻辑功能测试7-4 JK触发器清除和预置功能的测试

D触发器仿真(1) D触发器仿真(2

1 3.JK触发器 用带预置和清除的双JK触发器74LS76来测试下降沿触发集成JK触发器的逻辑功能。先按图7-4接线,改变预置端PRE和清除端CLR的信号,通过发光二极管观察触发器Q输出端的输出状态。把结果填入自制的表中。然后,按图7-5接线,测试JK触发器的逻辑功能。 图7-5 JK触发器逻辑功能测试

数字电子技术-复习选择填空题汇总(精简)

一、 选择题: 1、在下列逻辑电路中,不是组合逻辑电路的是( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 2、下列触发器中没有约束条件的是( D ) A 、基本RS 触发器 B 、主从RS 触发器 C 、同步RS 触发器 D 、边沿D 触发器 3、555定时器不可以组成 D 。 A.多谐振荡器 B.单稳态触发器 C.施密特触发器 D.J K 触发器 4、编码器(A )优先编码功能,因而( C )多个输入端同时为1。 A 、有 B 、无 C 、允许 D 、不允许 5、( D )触发器可以构成移位寄存器。 A 、基本RS 触发器 B 、主从RS 触发器 C 、同步RS 触发器 D 、边沿D 触发器 6、某触发器的状态转换图如图所示,该触发器应是( C ) A. J-K 触发器 B. R-S 触发器 C. D 触发器 D. T 触发器 7、十进制数6在8421BCD 码中表示为-------------------------------------------------( B ) A.0101 B.0110 C. 0111 D. 1000 8、在图所示电路中,使__ A Y 的电路是---------------------------------------------( A ) A. ○1 B. ○2 C. ○3 D. ○4 9、接通电源电压就能输出矩形脉冲的电路是------------------------------------------( D ) A. 单稳态触发器 B. 施密特触发器 C. D 触发器 D. 多谐振荡器 10、多谐振荡器有-------------------------------------------------------------------------------( C ) A. 两个稳态 B. 一个稳态 C. 没有稳态 D. 不能确定 11、已知输入A 、B 和输出Y 的波形如下图所示,则对应的逻辑门电路是-------( D ) A. 与门 B. 与非门 C. 或非门 D. 异或门 12、下列电路中属于时序逻辑电路的是------------------------------------------------------( B ) A. 编码器 B. 计数器 C. 译码器 D. 数据选择器 13、在某些情况下,使组合逻辑电路产生了竞争与冒险,这是由于信号的---------( A ) A. 延迟 B. 超前 C. 突变 D. 放大

数字电路试卷及答案

一.选择题 1十进制数3.625的二进制数和8421BCD 码分别为(D ) A 、11.11和11.001 B 、11.101和11.101 C 、11.01和11.011000100101 D 、11.101和0011.011000100101 2、逻辑函数F1、F2、F3的卡诺图如图所示,他们之间的逻辑关系是(B ) A 、F3=F 1·F2 B 、F3=F1+F2 C 、F2=F1·F3 D 、F2=F1+F3 00 01 11 10 0 1 1 1 1 1 F1 F2 F3 3 、和TTL 电路相比,CMOS 电路最突出的有点在于(C ) A 、可靠性高 B 、抗干扰能力强 C 、功耗低 D 、速度快 4、用1K ×4的DRAM 设计4K ×8位的存储器的系统需要的芯片数和地址线的根数是(C ) A 、16片 10根 B 、8片 10根 C 、8片 12根 D 、16片 12根 5、在图2中用555定时器组成的施密特触发电路中,它的回差电压等于(A ) A 、2V B 、3V C 、 4V D 、5V 图2 图3 6、为将D 触发器转换为T 触发器,图3所示电路的虚线框内应是(D ) A 、或非门 B 、与非门 C 、异或门 D 、同或门 7、在下列逻辑部件中,不属于组合逻辑部件的是(A ) A .寄存器 B 、编码器 C 、全加器 D 、译码器 8、某10位D/A 转换器,当输入为D=010*******B 时,输出电压为1.6V 。当输入D=1000010000B 时,输出电压为(B ) A 、3.15V B 、3.30V C 、3.60V D 、都不是 二.填空题 1、逻辑函数F=A ·(B+C )·1的反函数F =_____0+?+C B A ___________ 2、四选一数据选择器,AB 为地址信号,D 0=D 3=1,D 1=C ,D 2=c ,当AB=10时,输出F=__C__ 3、将模拟信号转化为数字信号,需要采用A/D 转换器。实现A/D 转换一般要经过采样、保持、量化和编码等4个过程。 00 01 11 10 0 1 1 1 1 00 01 11 10 0 1 1 1 1 1 1

万里学院数字电子技术基础第七章习题及参考答案

第七章习题 一、选择题 1.集成D/A 转换器DAC0832含有 个寄存器。 A.1 B.2 C.3 D.4 2.一个无符号8位数字量输入的DAC ,其分辨率为 位。 A.1 B.3 C.4 D.8 3.一个无符号10位数字输入的DAC ,其输出电平的级数为 。 A.4 B.10 C.1024 D.210 4.一个无符号4位权电阻DAC ,最低位处的电阻为40K Ω,则最高位处电阻为 。 A.4K Ω B.5K Ω C.10K Ω D.20K Ω 5.4位倒T 型电阻网络DAC 的电阻网络的电阻取值有 种。 A.1 B.2 C.4 D.8 6.为使采样输出信号不失真地代表输入模拟信号,采样频率f s 和输入模拟信号的最高频率 f ax Im 的关系是 。 A. f s ≥f ax Im B. f s ≤f ax Im C. f s ≥2f ax Im D. f s ≤2f ax Im 7.将一个时间上连续变化的模拟量转换为时间上断续(离散)的模拟量的过程称为 。 A.采样 B.量化 C.保持 D.编码 8.用二进制码表示指定离散电平的过程称为 。 A.采样 B.量化 C.保持 D.编码 9.将幅值上、时间上离散的阶梯电平统一归并到最邻近的指定电平的过程称为 。 A.采样 B.量化 C.保持 D.编码 10.若某ADC 取量化单位△=81REF V ,并规定对于输入电压I u ,在0≤I u <8 1REF V 时,认为输入的模拟电压为0V ,输出的二进制数为000,则 85REF V ≤I u <86REF V 时,输出的二进制数为 。 A.001 B.101 C.110 D.111 11.以下四种转换器, 是A/D 转换器且转换速度最高。 A.并联比较型 B.逐次逼近型 C.双积分型 D.施密特触发器 二、判断题(正确打√,错误的打×) 1.D/A 转换器的建立时间是反映转换速度的一个参数。( )

数字电子技术基础试题及答案

数字电子技术基础期末考试试卷 课程名称 数字电子技术基础 A _卷 考试形式闭卷 考核类型考试 本试卷共4大题,卷面满分100分,答题时间120分钟。 题号 -一- -二二 三 四 总分 复核人 得分 1?二进制数(1011.1001 2转换为八进制数为 2. 数字电路按照是否具有记忆功能通常可分为两类: 3. _________________________________________________________________ 已知逻辑函数 F = A ? B ,它的与非-与非表达式为 _________________________________, 或与非表达式为 _______________________ 。 4. _________________________ 5个变量可构成 ____________________________个最小 项,变量的每一种取值可使 ____ 个 最小项的值为1。 5. __________________________________ 555定时器构成的施密特触发器,若电源电压 V cc = 12V ,电压控制端经0.01 F 电容接地,则上触发电平 U T + =V ,下触发电平 U T = ___________________________________ V 。 得分 评卷人 、填空题:(每小题2分,共10分) ) 级 班 ( 业 得分 评卷人 化简题: (每小题10分,共20 分) 1.用代数法将下面的函数化为最简与或式: F=C- [ ABD BC ABD +(B+C)D] ,转换为十六进 线

数字电路试题汇总

逻辑门电路(158) 一、填空题3.1 4.3.1. 1.与门是反向逻辑门。 (× ) 2.或非门是反向逻辑门。 ( √ ) 3.当一个逻辑门被禁止时,它被激活,允许将一个信号传到输出端。(× ) 4.当一个二输入与门被一个输入端的逻辑0信号禁止时,它的另一个输入端将成为无关输入。( √ ) 5.逻辑门对与门而言是一个 禁止信号 6.逻辑门对与门而言是一个 使能信号 7.对于一个二输入或非门而言,如果A =0,B =1,则输出电平应该是输入 逻辑0 8.数字电路中的三极管在( )区只是一种过渡状态。 放大区 9.影响二极管开关速度的主要因素是由于( )时间的存在。 P N 结内部结电容 10.正逻辑或门可以是负逻辑( )门电路。 与 11.在数字电路中,晶体三极管工作在( )状态。 开关 12.正逻辑的约定是高电平为0,低电平为1 。 (× ) 13.用双极性三极管组成开关电路其饱和工作状态的条件是( A )。 A.β/I I CS B > B.V V BE 6.0= C.I I C B < D. I I B ceo ≤ 14.在数字电路中,高电平代表逻辑“1”状态,低电平代表逻辑“0”状态。 (×) 15.与条件语句中至少需要( )个条件。2

16.或条件语句中至少需要()个条件。2 17.4输入与门有()种可能的输入状态组合。16 18.对于5输入与门,其真值表有()行。32 19.与门使用矩形符号表示时,其标志符是()。& 20.对于4输入或门而言,有()种可能的输入状态组合。16 21.5输入或门的真值表有()列。5 22.或门使用矩形符号表示时,其标志符是()。≥1 23.非门有()个输入。1 24.非门使用矩形符号表示时,其标志符是()。1 25.与逻辑门相关的两种错误是()。开路或短路 26.I C中常见的内部错误是()。开路 27.如果向与非门输入数字波形,则什么情况下输出为低电平? 答案:当所有输入都是高电平时,与非门输出为低电平。 28.对于5输入的与非门,有()种可能的输入状态组合。32 29.对于4输入与非门,其真值表有()列。5 30.对于8输入与非门,所有可能的输入状态组合有()组输入状态能够输 出低电平。一组 31.什么情况下或非门的输出为逻辑低电平? 答案:只要有一个或多个输入为高电平,则或非门输出为低电平 32 .对于4输入的或非门,有()种可能的输入状态组合。16 33.对于5输入与或非门,其真值表有()行。32 34.如果向或非门输入数字波形,则什么情况下输出为低电平? 答案:任有一个输入波形为高电平时,或非门输出为低电平。 35.或门和非门应该如何连接才能搭建出或非门? 答案:把或非门的输出端接到非门的输入端 36.如何正确连接未使用的与非门输入? 答案:通过上拉电阻将未使用的与非门输入接到V C C. 37.如何正确连接未使用的或非门输入? 答案:将未使用的或非门输入接到地。 38.什么是“上拉”电阻? 答案:上拉电阻是将某点与V C C连接起来的电阻,它使得该点为高电平。 39.I C门中较常见的是()。开路

数字电路试题及答案

数字电路试题及答案

数字电路试题及答案 二、单项选择题(本大题共10小题,每小题2分, 共20分) 对应的十进制数是 1、十六进制数(8F) 16 ( C ) A、141 B、142 C、143 D、144 2、逻辑函数L(A,B,C)=(A+B)(B+C)(A+C) 的最简与或表达式为( D ) A、(A+C)B+AC B、 AB+(B+A)C C、 A(B+C)+BC D、 AB+BC+AC 3、与非门输出为低电平时,需满足( D ) A、只要有一个输入端为低电平 B、只要 有一个输入端为高电平 C、所有输入端都是低电平 D、所有 输入端都是高电平 4、能够实现“线与”功能的门电路是( D ) A、与非门B、或非门 C、三态输出门D、集电极开路门 5、由与非门构成的基本RS触发器,要使Qn+1= Qn,则输入信号应为(A) A、R=S=1B、R=S=0 C、R=1,S=0D、R=0,S=1

6、要使T触发器Qn+1=Qn ,则(B)A、T=QnB、T=0C、T=1D、T=n 7、对于JK触发器,要使Q n+1=Q n,则(B) A、J=K=1 B、J=K=0 C、J=1,K=0 D、J=0,K=1 8、为实现D触发器转换成T触发器,题图所示的虚线框内应是。( C ) A、与非门 B、异或门 C、同或门 D、或非门 9、十六个数据输入端的数据选择器必有地址输入端的个数为( D) A、1 B、2 C、3 D、4 10、一个4位二进制计数器的最大模数是( C ) A、4 B、8 C、16 D、32

题3-1图所示,试列出真值表,写出逻辑函数F的逻辑表达式,并画逻辑图。 解:由波形图列出真 值表(3分) 由真值表写出逻辑表达式: F=A+ B =A B (3分) 由逻辑表达式画逻辑图。 (4分) 2、用卡诺图化简下列逻辑函数: F(A,B,C,D)=Σm(0,3,4,7,11)+Σd (8,9,12,13,14,15)

数字电子技术试卷试题答案汇总(完整版)

数字电子技术试卷试题答案汇总(完整版)

数字电子技术基础试卷试题答案汇总 一、 填空题(每空1分,共20分) 1、逻辑代数中3种基本运算是 , , 。 2、逻辑代数中三个基本运算规 则 , , 。 3、逻辑函数的化简有 , 两种方法。 4、A+B+C= 。 5、TTL 与非门的u I ≤U OFF 时,与非门 ,输出 ,u I ≥U ON 时,与 非门 ,输出 。 6、组合逻辑电路没有 功能。 7、竞争冒险的判断方法 , 。 8、触发器它有 稳态。主从RS 触发器的特性方 程 , 主从JK 触发器的特性方程 ,D 触发器的特性方 程 。 二、 选择题(每题1分,共10分) 1、相同为“0”不同为“1”它的逻辑关系是 ( ) A 、或逻辑 B 、与逻辑 C 、异或逻辑 2、Y (A ,B ,C ,)=∑m (0,1,2,3)逻辑函数的化简式 ( ) A 、Y=AB+BC+ABC B 、Y=A+B C 、Y=A 3、 A 、Y=A B B 、Y 处于悬浮状态 C 、Y=B A + 4、下列图中的逻辑关系正确的是 ( ) A.Y=B A + B.Y=B A + C.Y=AB 5、下列说法正确的是 ( ) A 、主从JK 触发器没有空翻现象 B 、JK 之间有约束 C 、主从JK 触发器的特性方程是CP 上升沿有效。 6、下列说法正确的是 ( ) A 、同步触发器没有空翻现象 B 、同步触发器能用于组成计数器、移位寄存器。 C 、同步触发器不能用于组成计数器、移位寄存器。 7、下列说法是正确的是 ( ) A 、异步计数器的计数脉冲只加到部分触发器上 B 、异步计数器的计数脉冲 同时加到所有触发器上 C 、异步计数器不需要计数脉冲的控制 8、下列说法是正确的是 ( )

数字电路试题及答案

数字电路试题及答案 Company number:【WTUT-WT88Y-W8BBGB-BWYTT-

《数字电路》试卷及答案 一、【单项选择题】(本大题共20小题,每小题2分,共40分)在每小题列出的四个选项中只有一个选项是符合题目要求的,请将正确选项前的字母填在答题卷相应题号处。 1、对于钟控RS 触发器,若要求其输出“0”状态不变,则输入的RS 信号应为( A )。 2、以下各电路中,( B )可以产生脉冲定时。 [A] 多谐振荡器 [B] 单稳态触发器 [C] 施密特触发器 [D] 石英晶体多谐振荡器 3、下列逻辑电路中为时序逻辑电路的是( C )。 4、同步时序电路和异步时序电路比较,其差异在于后者( B )。 [A] 没有触发器 [B] 没有统一的时钟脉冲控制 [C] 没有稳定状态 [D] 输出只与内部状态有关 5、当用专用输出结构的PAL 设计时序逻辑电路时,必须还要具备有( A )。 6、能将输出端直接相接完成线与的电路有( C )。 7、TTL 与非门的多余脚悬空等效于( A )。 8、以下哪一条不是消除竟争冒险的措施( B )。 [A] RS=X0 [B] RS=0X [C] RS=X1 [D] RS=1X [A] 变量译码器 [B] 加法器 [C] 数码寄存器 [D] 数据选择器 [A] 触发器 [B] 晶体管 [C] MOS 管 [D] 电容 [A] TTL 与门 [B] 或门 [C] 三态门 [D] 三极管非门 [A] 1 [B] 0 [C] Vcc [D] Vee [A] 接入滤波电 路 [B] 利用触发器 [C] 加入选通脉冲 [D] 修改逻辑设计

数字电路试题及答案96992

1)“0”的补码只有一种形式。 (√ ) 2)卡诺图中,两个相邻的最小项至少有一个变量互反。 (√ ) 3)用或非门可以实现3种基本的逻辑运算。 (√ ) 4)三极管饱和越深,关断时间越短。 (X ) 5)在数字电路中,逻辑功能相同的TTL 门和CMOS 门芯片可以互相替代使用。 (X ) 6)多个三态门电路的输出可以直接并接,实现逻辑与。 (X ) 7)时钟触发器仅当有时钟脉冲作用时,输入信号才能对触发器的状态产生影响。 (√ ) 8)采用奇偶校验电路可以发现代码传送过程中的所有错误。 (X ) 9)时序图、状态转换图和状态转换表都可以用来描述同一个时序逻辑电路的逻辑功能,它们之间可以相互转换。 (√ ) 10)一个存在无效状态的同步时序电路是否具有自启动功能,取决于确定激励函数时对无效状态的处理。 (√ ) 二.选择题(从下列各题的备选答案中选出1个或多个正确答案,将其填在括号中。共10分) 1. 不能将减法运算转换为加法运算。 ( A ) A .原码 B .反码 C .补码 2.小数“0”的反码可以写为 。 (AD ) A .0.0...0 B .1.0...0 C .0.1...1 D .1.1 (1) 3.逻辑函数F=A ⊕B 和G=A ⊙B 满足关系 。 (ABD ) A .F=G B .F ’=G C .F ’=G D .F =G ⊕1 4.要使JK 触发器在时钟脉冲作用下,实现输出n n Q Q =+1,则输入端信号应为 。 (B ) A .J =K =0 B .J =K =1 C .J =1,K =0 D .J =0,K =1 5.设计一个同步10进制计数器,需要 触发器。 (B ) A .3个 B .4个 C .5个 D .10个 三.两逻辑函数BCD A D C BC CD B D C A AB F ++++=)(1,D C D B A F ⊕⊕=2,求两者的关系。(10分) 解:两函数相等,∑(0,3,4,7,11,12) 四.用与非门-与非门电路实现逻辑函数C B B A F ⊕+⊕=。(10分)

相关文档
最新文档