2006~2010数字逻辑试卷及答案要点

2006~2010数字逻辑试卷及答案要点
2006~2010数字逻辑试卷及答案要点

武汉大学计算机学院

2006~2007学年第二学期2006级《数字逻辑》

期未考试试卷 A 卷

学号 班级 姓名 成绩

一、填空(每空1分,共14分)

1、(21.5)10=( )2=( )8=( )16

2、若0.1101x =-,则[]x 补=( )

3、十进制数809对应的8421BCD 码是( )

4、若采用奇校验,当信息位为10011时,校验位应是( )

5、数字逻辑电路分为( )和( )两大类

6、电平异步时序逻辑电路的描述工具有( )、( )、( )

7、函数()()F A B C D =+?+的反函数是( )

8、与非门扇出系数N O 的含义是( )

9、若要消除函数(,,)F A B C AB AC =+对应的逻辑电路可能存在的险象,则应增加的冗余项是( )

二、选择题(每空2分,共16分)

从下列各题的四个答案中,选出一个正确答案,并将其代号填入括号内

1、数字系统采用( )可以将减法运算转化为加法运算

A .原码

B .余3码

C .Gray 码

D .补码

2、欲使J-K 触发器在CP 脉冲作用下的次态与现态相反,JK 的取值应为( ) A .00 B .01 C .10 D .11

3、对完全确定原始状态表中的6个状态,A 、B 、C 、D 、E 、F 进行比简,若有(A ,B ),(D 、E )等效,则最简状态表中只有( )个状态

A .2

B .4

C .5

D .6 4、下列集成电路芯片中,( )属于组合逻辑电路 A .计数器74290 B .寄存器74194 C .三一八译码器74138 D .集成定时器5G555 5、设计一个20进制同步计数器,至少需要( )个触发器 A .4 B .5 C .6 D .20 6、用5G555构成的多谐振荡器有( )

A .两个稳态

B .两个暂稳态

C .一个稳态,一个暂稳态

D .既没有稳态,也没有暂稳态 7、可编程逻辑阵列PLA 的与、或陈列是( )

A .与阵列可编程、或阵列可编程

B .与阵列不可编程、或阵列可编程

C .与阵列可编程、或阵列不可编程

D .与阵列不可编程、或阵列不可编程 8、最大项和最小项的关系是( )

A .i i m M =

B .i i m M =

C .1i i m M ?=

D .无关系 三、逻辑函数化简(6分)

把(,,,)(0,1,5,14,15)(4,7,10,11,12)F A B C D m d =∑+∑化成最简与—或式 四、分析题(每小题12分,共24分)

1、分析图1所示组合逻辑电路

① 写出输出函数表达式 ② 列出真值表 ③ 说明电路功能

2、分析图2所示脉冲异步时序逻辑电路

① 写出输出函数和激励函数表达式 ② 列出次态真值表,作出状态表和状态图 ③ 说明电路功能

④ 设初态2100y y =,作出x 输入4个异步脉

冲后的状态y 2y 1和输出z 的波形图。

五、设计题(每小题10分,共20分)

1、作出“1101”序列检测器的Moore 模型原始状态图和状态表,电路有一个串行输入端x ,一个输出端z 。当x 输入的序列中出现“1101”时,输出z 为1,否则z 为0,其典型输入输出序列如下: 输入x 0 1 0 1 1 0 1 1 0 1 0 输出z 0 0 0 0 0 0 1 0 0 0 0

2、用D 触发器和适当的逻辑门设计能实现下列最简二进制状态表的同步时序逻辑电路

图 1

1

x

图2

六 综合应用题(每小题10分,共20分)

1、用三一八译码器74138和适当的逻辑门设计一个三变量 “多数表决电路”

2、用四位二进制同步可逆计数器74193和八选一数据选择器74152设计一个“10010010”序列发生器,循环产生该序列。序列中的最高位“1”是序列的第一位。 (提示:首先把74193设计成八进制计数器,用其计数状态作八选一数据选择器的地址端,用要产生的序列位作数据选择器的数据输入端)

附:各集成电路逻辑符号

武汉大学计算机学院

2006-2007学年第二学期2006级《数字逻辑》

期末考试试题A卷参考答案

一、填空题(每空1分,共14)

解答:

1.(21.5)10=(10101,1)2=(25.4)8=(15.8)16

2. [x]补=1.0011

3. 100000001001

4. 0

5. 组合逻辑电路,时序逻辑电路

6. 逻辑表达式,流程表,总态图

7. F AB CD

=+

8. 指与非门的输出端连接同类门的最多个数,它反映了与非门的带负载能力。

9. BC

二、选择题(每空2分,共16分)

解答

1. D

2. D

3. B

4. C

5. B

6. B

7. A

8. A

三、逻辑函数化简(6分)

解答

先画出函数F(A.B.C.D)的卡诺图

四、分析题(每小题12分,共24分)

1. 解答

①逐级写出输出函数表达式

②列真值表

121323()P AB

P P D AB D

P B C F P P AB D B C AB D B C

AB D B C B C ==+=+=+=?=+?+=+++=?++=+ ③功能说明

由真值表可知,当输入ABCD 取值为0010、0011、0100、

0101、0110、0111、1010、1011、1100、1101、1110、1111时输出F 为1,否则F 为0。或者说当输入ABCD 中B 或C 为1时,F 为1,否则F 为0。

2. 解答 ① 输出函数和激励函数表达式 21Z xy y = 电路属Mealy 模型

222121

11111()1()J k c y c p y J k c x c p x

======== ② 列次态真值表,作状态表和状态图

状态表

③ 电路功能:异步模4加1计数器,输出Z 表示进位

④ 时间图

五、设计题(每小题10分,共20分) 1. 解答 设初态为

原始状态图如下

原始状态表

2. 解答

(1)作输出函数和激励函数真值表

x

y 2 y 1 Z

1 2 3 4

(2)确定输出函数和激励函数

212112121D xy y y D x y xy xy y =+=++ 21Z xy y =

(3)画逻辑电路图

注:D 2、D 1亦可化成与非 与非的形式。

六、综合应用题(每小题10分,共20分) 1. 解答 ① 列其值表

设输入为A ,B ,C :1:赞同 0:反对 输出为F :1:通过 0:否决 列其值表如下:

CP

2. 解答

① 把74193设计成8进制计数器,计数规律为Q D Q C Q B Q A : 0000→0001→0010→0011 ↑ ↓ 0111←0110←0101←0100

当Q D Q C Q B Q A 向1000进位时,强迫计数器产生清0信号,所以CLR=Q D ② 用Q C Q B Q A 作八选一数据选择的地址选择端

③ 数据选择器的输入端D 0~D 7依次接入待产生序列的各位10010010 ④ 设置工作启动按钮,提供清0脉冲,CPu 外接工作脉冲,CP D 按“1” ⑤ 逻辑图如下

A B

C

1 0 0

启动脉冲P CP

武 汉 大 学 计 算 机 学 院 《数字逻辑》期末考试试题(A 卷) 2007—2008学年第二学期(闭卷考试)

班号: 学号: 姓名: 成绩:

(注:答案全部写在答题纸上)

一、填空题(每空1分,共16分)

1、(27.5)10=( )2=( )16

2、已知x =-0.1011,则[x ]补=( )

3、奇偶校验码可检测( )位错,但不能定位和纠错

4、每个双稳态触发器可记录( )位二进制码

5、十进制数347对应的8421BCD 码是( )

6、三态门的三种输出状态是( )、( )、( )

7、有两个相同型号的TTL 与非门,甲的开门电平为1.6V , 乙的开门电平为1.7V ,试问在输入相同高电平时,( )的抗干扰能力强。

8、F AB CD =+的反函数是( ),对偶函数是( )

9、组合逻辑电路的竞争可分为( )竞争和( )竞争两种类型。 10、用5G555构成的单稳触发器的暂稳态持续时间t w 的宽度与( )有关。 11、脉冲异步时序逻辑电路的状态( )同时变化的。 二、单项选择题(每空2分,共14分)

1、能够直接将输出端相连实现“线与”的逻辑门是( ) A. 与门 B. 或门 C. OC 门 D. 与或非门

2、三一八译码器74138能够正常工作的条件是使能端123122()A B S S S G G G 或为必须为( )

A.100

B.011

C.101

D.110

3、对上升沿触发的钟控触发器,其状态翻转的时刻发生在( )

A.CP 为0时

B. CP 由0到1时

C. CP 由1到0时

D. CP 为1时

4、同步时序逻辑电路中,状态编码采用相邻编码法的主要目的是( ) A.减少触发器个数 B.提高电路可靠性

C.提高电路工作速度

D.减少电路中的逻辑门,使电路结构最简 5、电平异步时序逻辑电路,不允许两个或两个以上输入信号( ) A.同时为1 B. 同时为0 C. 同时改变 D.同时出现 6、对完全确定原始状态表中的5个状态A 、B 、C 、D 、E 进行化简,若有(B 、C )、(B 、D )等效,则最简状态表中只有( )个状态

A. 2

B. 3

C. 4

D. 5

7、某同步时序逻辑电路的最简状态表中有11个状态,则设计该电路最少需要( )个触发器。

A. 3

B. 4

C. 5

D. 15 三、化简逻辑函数(每小题5分,共10分)

1、用代数法把函数F AB AB ABCD ABCD =+++化成最简与一或式

2、用卡诺图法把函数(...)(2,5,7,8,10,13)(0,3,14,15)F A B C D m d =∑+∑化成最简或—与式

四、分析题(每小题10分,共20

1、分析图1所示组合逻辑电路

① 写出输出函数表达式 ②

列出真值表 ③ 说明电路功能

2、分析图2所示脉冲异步时序逻辑电路 ① 写出激励函数表达式 ② 作出状态表和状态图

③ 作出时间图并说明电路功能(设初态y 2y 1=00)

F 1

2

F 3

F 4

五、设计题(每小题10分,共20分)

1、作出“1111”序列检测器的Moore 模型原始状态图和状态表,电路有一个串行输入端x ,一个输出端z 。当x 输入的随机序列中出现连续4个或4个以上1时,输出z 为1,否则z 为0,其典型输入输出序列如下:

输入x :0 1 1 0 1 1 1 1 1 0 1 0 输出z :0 0 0 0 0 0 0 1 1 0 0 0

2、用J-k 触发器和适当的逻辑门设计一个Mealy 模型同步八进制可逆计数器。电路有一个输入x ,一个输出z 。x=0在时钟脉冲作用下,作加1计数,x=1作减1计数;输出z 等于1表示进位或借位。(J-k 触发器激励表如下):

六、综合应用题(每小题10分,共20分)

1、用PLA 设计一个组合逻辑电路,该电路用于比较二个一位二进制数A 、B 的大小,产生大于(F 1)、小于(F 2)、等于(F 3)三种比较结果

2、用四位二进制同步可逆计数器74193,七段显示译码器7448,七段显示器设计一个“秒”时钟,循环显示“0~9”秒。假设秒脉冲已设计好,可直接接到计数器的CP 端。(写出设计过程,说明工作原理,画出逻辑图)

武 汉 大 学 计 算 机 学 院

《数字逻辑》期末考试试题(A 卷)参考答案 2007—2008学年第二学期(闭卷考试)

一、解答(每空1分,共16分)

1. (11011.1)2、(1B.8)16

2. [x ]补=1.0101

3. 奇数

4. 1

5. 0011 0100 0111

6. 高电平, 低电平, 高阻

7. 甲

8. ()(),()()F A B C D F A B C D '=++=++

9. 临界竞争, 非临界竞争 10. 充电时间常数 RC 11. 不是

二、解答(每小题2分,共14分)

1. C

2.A

3.B

4.D

5. C

6.B

7.B

三、解答(每小题5分,共10分)

1.

()F AB AB AB AB CD AB AB AB ABCD AB AB CD

=+++=+++=++

2. 画出函数F 的卡诺图

解法1 圈为0的项,直接写出或一

与式

()()

F B D B D =++ 解法2 先求F 的最简与一或式。再对F 求

反即得F 的最简或一与式

()()

F BD BD

F F B D B D =+==++

四、解答(每小题10分,共20分)

1. ①写出输出函数表达式

1234F AB F AB F AB F AB ====

② 列其值表

③功能:由其值表可见,每输入一组二进制码时,与这个二进制码值相对应的输出线上将出现一个低电平为0的有效信号。故其功能是将二进制码按它原来的值译成相应的输出信号,是一个二一四译码器,输出低电平有效。

2. ① 写出激励函数表达式

2211111T c y D y c cp

====

② 作状态转换其值表

状态表

③ (二位二进制数)加1计数器。时间图如下:

状态图

y 2y 1

五、解答(每小题10分,共20分)

1. 设初态为A

原始状态图

2.①形成原始状态图和原始状态表

②确定激励函数和输出函数

画卡诺图化简

2101010012102102

101010011

1J xy y xy y J xy xy J z xy y y xy y y K xy y xy y K xy xy K =+=+=???=+???=+=+=???

③画电路图(略)

六、解答(每小题10分,共20分)

1.① 列真值表求出F 1(大于),F 2 (小于),F 3(等于)的最简与或表达式。

1F A B = 2F A B =

3F A B

A B

=+ J 2

K 2

……

②画PLA 的阵列图

2.① 先把74193设计成十进制计数器,并用启动脉冲p S 将初态Q D Q C Q B Q A 清零,CLR=Q D Q B ,CPu 接“秒”脉冲CP, CP D 接“1”。

② 把计数器的输出状态Q D Q C Q B Q A 接7448的A 3A 2A 1A 0,并正确处理7448的辅助控制信号,1/1LT BI RBO ==

③ 把7448的七段输出端a~g 接七段显示器的输入a~g 。

D C B A

“秒”脉冲CP

“1”

“秒”时钟逻辑电路图

“1”

A B

A B F 1 F 2 F 3

武汉大学计算机学院

《数字逻辑》期末考试试题(A 卷) 2008~2009学年第二学期(闭卷考试)

班级: 学号: 姓名: 成绩:

(注:答案全部写在答题纸上)

一、填空题(每空1分,共16分)

1.已知[X ]补=1.1100,则[X ]真值=( ),[X ]反=( )。 2.(30.5)10=( )2=( )8=( )16。

3.F AC BDC =+的反函数是( ),对偶函数是( )。 4.余3码010*********对应的十进制数是( )。

5.有两个相同型号的TTL 与非门,甲的关门电平为0.9V ,乙的关门电平为0.8V ,试问在输入相同低电平时,( )的抗干扰能力强。

6.集电极开路逻辑门(OC 门)的输出端( )直接相连实现线与。 7.欲使T 触发器在CP 脉冲作用下的次态与现态相反,则T 的取值应为( )。 8.脉冲异步时序逻辑电路( )两个或两个以上输入端同时为1。 9.优先编码器的多个输入端( )同时输入有效信号。 10.可编程逻辑阵列PLA 的与阵列是( )编程的。

11.若要消除函数(,,)F A B C AB AC =+对应的电路可能存在的险象,则应增加的冗余项是( )。

12.对完全确定状态表中的7个状态A 、B 、C 、D 、E 、F 、G 进行化简,若有(A 、B ),(B 、C ),(E 、F )等效,则最简状态表中只有( )个状态。 二、证明题(6分)

()AB AC B C D AB AC D +++=++

三、化简题(每小题5分,共10分)

把下列函数化成最简与一或式: 1.F AB AC BC ABD =+++;

2.(,,,)(0,2,10,13,15)(5,7,8,11,14)F A B C D m d =∑+∑。 四、分析题(每小题10分,共20分)

1.分析图1所示组合逻辑电路

(1)写出输出函数表达式(3分)

(2)列出真值表(4分)

(3)说明电路功能(3分)

图1

2.分析图2所示电平异步时序逻辑电路

(1)写出输出函数和激励函数表达式(3分)

(2)作出流程表(表中输入变量按X2X1顺序排列)(3分)

(3)作出总态图(4分)

图2

五、设计题(每小题12分,共24分)

1.作出同步时序逻辑电路“110”序列检测器的Mealy模型原始状态图和状态表。电路有一个串行输入端X,一个输出端Z。当X输入的序列中出现“110”时,输出Z 为1,否则Z为0。其典型输入输出序列如下:

输入X:0 1 0 1 1 0 0 1 1 0 1

输出Z:0 0 0 0 0 1 0 0 0 1 0

2.用J、K触发器和适当的逻辑门设计能实现下列最简二进制状态表功能的同步时序逻辑电路。

六、综合应用题(每小题12分,共24分)

1.用四选一数据选择器设计一个三变量奇数检测电路,当输入的三个变量A、B、C中1的个数为奇数时,输出F等于1,否则F等于0。(用AB作地址选择端)2.用5G555定时器和适当的电阻电容构成的多谐振荡器如下图所示:

①说明电路的工作原理;(4分)

②画出电容电压V C的充放电波形和输出电压V O的振荡波形;(4分)

③计算出矩形波的振荡周期。(4分)

附:5G555的电路结构图、引脚图、功能表如下:

5G555不外接控制电压时的功能表

武汉大学计算机学院

《数字逻辑》期末考试(A 卷)参考答案 2008~2009学年第二学期(闭卷考试)

一、填空题(每空1分,共16分)

1.[X ]真值=-0.0100,[X ]反=1.1011。

2.(30.5)10=(11110.1)2=(36.4)8=(1E.8)16

3.反函数()()F A C B D C =+++,对偶函数()()F A C B D C '=+++。 4.(158)10

5.甲

6.可以(允许) 7.1 8.不允许

9.可以(允许)

10.可 11.增加冗余项BC

12.4

二、证明题(6分)

() AB AC B C D AB AC BC BCD AB AC BC D AB AC D

+++=+++=+++=++

三、化简题(每小题5分,共10分)

1.解:() F B A C AC ABD B AC AC ABD

AC B BAD

AC B AD B AC AD

=+++=++=++=++=++

2.解①:画卡诺图

②最简与一或式 F B D B D

=+ 四、分析题(每小题10分,共20分)

1.解答

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 考试科目:数字逻辑电路 试卷类别:3卷 考试时间:110 分钟 XXXX 学院 ______________系 级 班 姓名 学号 题号 一 二 三 四 总分 得分 一、选择题(每小题2分,共20分) 1. 八进制(273)8中,它的第三位数2 的位权为___B___。 A .(128)10 B .(64)10 C .(256)10 D .(8)10 2. 已知逻辑表达式C B C A AB F ++=,与它功能相等的函数表达式_____B____。 A .A B F = B . C AB F += C .C A AB F += D . C B AB F += 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A . 原码 B .ASCII 码 C . 补码 D . BCD 码 4.对于如图所示波形,其反映的逻辑关系是___B_____。 A .与关系 B . 异或关系 C .同或关系 D .无法判断 5. 连续异或1985个1的结果是____B_____。 A .0 B .1 C .不确定 D .逻辑概念错误 得分 评卷人 装 订 线 内 请 勿 答 题

6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 D C B A F+ + + =B.D C B A F+ + + = D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为 _____D_____。 A.500KHz B.200KHz C.100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 装

《数字逻辑》考试答案

中国石油大学(北京)远程教育学院 《数字逻辑》期末复习题 一、单项选择题 1. TTL 门电路输入端悬空时,应视为( A ) A. 高电平 B. 低电平 C. 不定 D. 高阻 2. 最小项D C B A 的逻辑相邻项是( D ) A .ABCD B .D B C A C .C D AB D .BCD A 3. 全加器中向高位的进位1+i C 为( D ) A. i i i C B A ⊕⊕ B.i i i i i C B A B A )(⊕+ C.i i i C B A ++ D.i i i B C A )(⊕ 4. 一片十六选一数据选择器,它应有( A )位地址输入变量 A. 4 B. 5 C. 10 D. 16 5. 欲对78个信息以二进制代码表示,则最少需要( B )位二进制码 A. 4 B. 7 C. 78 D. 10 6. 十进制数25用8421BCD 码表示为(B ) A.10 101 B.0010 0101 C.100101 D.10101 7. 常用的BCD 码有(C ) A:奇偶校验码 B:格雷码 C:8421码 D:ASCII 码 8. 已知Y A AB AB =++,下列结果中正确的是(C ) A:Y=A B:Y=B C:Y=A+B D: Y A B =+ 9. 下列说法不正确的是( D ) A:同一个逻辑函数的不同描述方法之间可相互转换 B:任何一个逻辑函数都可以化成最小项之和的标准形式 C:具有逻辑相邻性的两个最小项都可以合并为一项 D:任一逻辑函数的最简与或式形式是唯一的 10. 逻辑函数的真值表如下表所示,其最简与或式是(C )

A: ABC ABC ABC ++ B: ABC ABC ABC ++ C: BC AB + D: BC AC + 11.以下不是逻辑代数重要规则的是( D ) 。 A. 代入规则 B. 反演规则 C. 对偶规则 D. 加法规则 12.已知函数E)D (C B A F +?+=的反函数应该是( A ) 。 A. [])E (D C B A F +?+?= B. [])E D (C B A F +?+?= C. [])E (D C B A F +?+?= D. [] )E D (C B A F +?+?= 13.组合逻辑电路一般由( A )组合而成。 A 、门电路 B 、触发器 C 、计数器 D 、寄存器 14.求一个逻辑函数F 的对偶式,可将F 中的( A )。 A 、“·”换成“+”,“+”换成“·”,常数中的“0”“1”互换 B 、原变量换成反变量,反变量换成原变量 C 、变量不变 D 、常数中的“0”换成“1”,“1”换成“0” 15.逻辑函数()()()()=++++=E A D A C A B A F ( A ) 。 A. AB+AC+AD+AE B. A+BCED C. (A+BC)(A+DE) D. A+B+C+D+E 16.下列逻辑电路中,不是组合逻辑电路的有( D ) A 、译码器 B 、编码器 C 、全加器 D 、寄存器 17.逻辑表达式A+BC=( C )

数电课程设计心得

数电课程设计是培养学生综合运用所学知识,发现,提出,分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程.回顾起此次课程设计,至今我仍感慨颇多,的确,从选题到定稿,从理论到实践,在短短的两个星期的日子里,可以说得是苦多于甜,但是可以学到很多很多的的东西,同时不仅可以巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次数电课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做数电课程设计,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。 这次数电课程设计终于顺利完成了,在设计中遇到了很多问题,最后在王老师的辛勤指导下,终于游逆而解。同时,在王老师的身上我学得到很多实用的知识。总体来说,这次实习我受益匪浅.在摸索该如何设计程序使之实现所需功能的过程中,特别有趣,培养了我的设计思维,增加了实际操作能力.在让我体会到了设计的艰辛的同时,更让我体会到成功的喜悦和快乐. 这次数电课程设计,虽然短暂但是让我得到多方面的提高:1、提高了我们的逻辑思维能力,使我们在逻辑电路的分析与设计上有了很大的进步。加深了我们对组合逻辑电路与时序逻辑电路的认识,进一步增进了对一些常见逻辑器件的了解。另外,我们还更加充分的认识到,数字电路这门课程在科学发展中的至关重要性2,查阅参考书的独立思考的能力以及培养非常重要,我们在设计电路时,遇到很多不理解的东西,有的我们通过查阅参考书弄明白,有的通过网络查到,但由于时间和资料有限我们更多的还是独立思考。3,相互讨论共同研究也是很重要的,经常出现一些问题,比如电路设计中的分频器的设计,开始并不理解分频器的原理,但是和其他的专业同学讨论后,理解了分频器的基本原理后,很快的设计了电路原理图。

数字逻辑模拟试题

数字逻辑模拟试题 一.单项选择题1.表示任意两位无符号十进制数至少需要()二进制数。 A .6 B.7 C.8 D.9 2.余3码10001000对应的2421码为()。 A .01010101 B.10000101 C.10111011 D. 11101011 3.下列四个数中与十进制数(72)10 相等的是()A.(01101000)2 B. (01001000)2 C.(01110010)2 D. (01001010)2 4.某集成电路芯片,查手册知其最大输出低电平U oLmax =0.5V,最大输入低电平U lLmax =0.8V,最小输出咼电平U oHmi n= 2.7V,最小输入高电平U lHmi n= 2.0V,则其高电平噪声容限U NH=() A.0.3V B.0.6V C.0.7V D.1.2V

5 ?标准或-与式是由()构成的逻辑表达式。 A ?与项相或 B.最小项相或 C.最大项相与 D.或项相与 6.根据反演规则, F A C C DE E的反函数为()。 A. F [AC C(D E)]E B.F AC C(D E)E C. F (AC CD E)E D.F AC C(D E)E 7、对于TTL或非门多余输入端的处理,不可以()( A、接电源 B、通过0.5k Q电阻接地 C、接地 D、与有用输入端并联 8?下列四种类型的逻辑门中,可以用()实现三种基本逻辑运算。 A.与门 B.或门 C.非门 D.与非门 9.将D触发器改造成T触发器,图1所示电路中的虚线框内应是()。

A.或非门 B.与非门 C.异或门 D.同或门 10.以下电路中可以实现线与功能的有()。 A. 与非门 B.三态输出门 C.传输门 D.漏极开路门 11 ?要使JK触发器在时钟作用下的次态与现态相反, JK端取值应为()。 A. JK=00 B. JK=01 C. JK=10 D. JK=11 12?设计一个四位二进制码的奇偶校验器,需要()个异或门。 A . 2 B. 3 C. 4 D. 5 13.相邻两组编码只有一位不同的编码是() A. 2421BCD码 B.8421BCD码 C.余3 码 D.循环码14?下列电路中,不属于时序逻辑电路的是() A.计数器 B.全加器 C.寄存器 D.RAM

《数字逻辑与数字系统》期末考试试题(A)

北京邮电大学2008——2009学年第一学期 《数字逻辑与数字系统》期末考试试题(A ) 考试注意事项 一、学生参加考试须带学生证或学院证明,未带者不准进入考场。学生必须按照监考教师指定座位就坐。 二、书本、参考资料、书包等物品一律放到考场指定位置。 三、学生不得另行携带、使用稿纸,要遵守《北京邮电大学考场规则》,有考场违纪或作弊行为者,按相应规定严肃处理。 四、学生必须将答题内容做在试题答卷上,做在草稿纸上一律无效。 五、学生的姓名、班级、学号、班内序号等信息由教材中心统一印制。 考试 课程 数字逻辑与数字系统 考试时间 2009年1月13日 题号 一 二 三 四 五 六 七 八 总分 满分 10 20 10 10 10 12 14 14 得分 阅卷 教师 一、选择题(每小题1分,共10分。) 1. )D C B (B )B A (A F ++++==( ) A . B B . A+B C . 1 D .AB 2.同步时序电路和异步时序电路比较,其差异在于后者( ) A . 没有稳定状态 B . 没有统一的时钟脉冲控制 C . 输入数据是异步的 D . 输出数据是异步的 3.(10000011)8421BCD 的二进制码为( )。 A .( 10000011)2 B .(10100100)2 C . (1010011)2 D . (11001011)2 4. 74LS85为四位二进制数据比较器。如果只进行4位数据比较,那么三个级联输入端ab 、a=b 应为( )。 A . ab 接地,a=b 接地 B . ab 接高电平,a=b 接高电平 C . ab 接高电平,a=b 接地

数字逻辑课程设计课案教学总结

数字逻辑设计课程设计指导书 适用专业:计算机大类 湖北工业大学 计算机学院 2016年11月

目录 一、课程设计目的 (1) 二、课程设计要求 (1) 三、课程设计内容 (1) 四、设计报告的内容和要求 (3) 五、课程设计考核方法 (3) 附录一自选课题参考题目 (4) 一、数码管显示控制器 (4) 二、乒乓球游戏机 (4) 三、智力竞赛抢答器 (4) 四、数字钟 (4) 五、交通灯控制器 (5) 六、双钮电子锁 (5) 七、彩灯控制器 (5) 八、速度表 (5) 九、出租车计价器 (6) 十、自动奏乐器一 (6) 十一、自动奏乐器二 (6) 十二、自动打铃器 (6) 十三、算术运算单元ALU的设计 (7) 十四、游戏机 (7) 十五、16路数显报警器 (7) 十六、脉冲按键电话按键显示器 (7) 十七、病房呼叫系统 (8) 十八、自动电子钟 (8) 十九、具有数字显示的洗衣机时控电路 (8) 二十、篮球比赛数字计分牌 (8) 二十一、电子日历 (9) 二十二、设计模拟中央人民广播电台报时电路 (9) 二十三、数字跑表 (9) 二十四、汽车尾灯控制器 (9) 二十五、篮球竞赛30秒计时器 (9) 二十六、拔河游戏机控制器 (10) 附录二TTL集成电路型号命名规则 (11) 附录三部分TTL集成电路管脚排列图 (14)

一、课程设计目的 《数字逻辑课程设计》是计算机大类学生的必修课之一,是《数字逻辑》课程的一个重要的实践教学环节,它与理论教学和实验教学相结合,培养学生综合运用所学的基础理论和掌握的基本技能来解决实际问题的能力。 课程设计通过完成一个课题的理论设计和实际调试工作,即能加深对所学知识的理解,又能培养综合的实践技能,从而提高分析问题和解决问题的能力。训练学生综合运用学过的数字逻辑的基本知识,独立设计比较复杂的数字电路的能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 二、课程设计要求 (一)教学要求 1.巩固和加深对数字逻辑各类型电路的设计方法及电子器件所构成电路的理解,并适当拓宽学生在电子线路领域的知识面。 2.初步掌握数字电路的设计、计算方法。能根据系统的技术指标,论证、拟订设计方案;选用合适的电路形式并进行工程计算及选择电路的元器件。 3.培养独立组织实验方案、正确选择使用实验仪器的能力,提高对功能电路和系统的安装调整、测试技术,以及综合运用所学理论知识解决实际问题的能力。(二)能力培养要求 1.通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 2.通过实际电路方案的比较分析、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 3.掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 4.综合应用课程中学到的理论知识去独立完成一个设计任务。 5.培养严肃认真的工作作风和严谨的科学态度。 三、课程设计内容 从参考题目中自选1题进行资料查找和设计,具体课题及要求见附件1。 1.数码管显示控制器

数字逻辑试卷及答案

计算机学院 第二学期《数字逻辑》 期未考试试卷 A 卷 学号 班级 姓名 成绩 一、填空(每空1分,共14分) 1、(21.5)10=( )2=( )8=( )16 2、若0.1101x =-,则[]x 补=( ) 3、十进制数809对应的8421BCD 码是( ) 4、若采用奇校验,当信息位为10011时,校验位应是( ) 5、数字逻辑电路分为( )和( )两大类 6、电平异步时序逻辑电路的描述工具有( )、( )、( ) 7、函数()()F A B C D =+?+的反函数是( ) 8、与非门扇出系数N O 的含义是( ) 9、若要消除函数(,,)F A B C AB AC =+对应的逻辑电路可能存在的险象,则应增加的冗余项是( ) 二、选择题(每空2分,共16分) 从下列各题的四个答案中,选出一个正确答案,并将其代号填入括号内 1、数字系统采用( )可以将减法运算转化为加法运算 A .原码 B .余3码 C .Gray 码 D .补码 2、欲使J-K 触发器在CP 脉冲作用下的次态与现态相反,JK 的取值应为( ) A .00 B .01 C .10 D .11 3、对完全确定原始状态表中的6个状态,A 、B 、C 、D 、E 、F 进行比简,若有(A ,B ),(D 、E )等效,则最简状态表中只有( )个状态 A .2 B .4 C .5 D .6 4、下列集成电路芯片中,( )属于组合逻辑电路 A .计数器74290 B .寄存器74194 C .三一八译码器74138 D .集成定时器5G555 5、设计一个20进制同步计数器,至少需要( )个触发器 A .4 B .5 C .6 D .20 6、用5G555构成的多谐振荡器有( ) A .两个稳态 B .两个暂稳态

数字逻辑期末考试题

数字逻辑考试题 数字逻辑考试题(一) 一、填空(共17分,每空1分) 1. (1011.11)B =( ) D =( )H 2. (16)D =( )8421BCD 码。 3. 三态门的输出有 、 、 三种状态。 6. ABC C B A Y =),,( 的最简式为Y= 。 7. 由n 位寄存器组成的扭环型移位寄存器可以构成 进制计数器。 10. 四位环型计数器初始状态是1000,经过5个时钟后状态为 。 11. 在RS 、JK 、T 和D 触发器中, 触发器的逻辑功能最多。 12. 设一个包围圈所包围的方格数目为S ,消去的变量数目为N ,那么S 与N 的关系式应是 。 13. 在卡诺图化简逻辑函数时,圈1求得 的最简与或式,圈0求得 的最简与或式。 二、选择(共10分,每题1分) 1. DE BC A Y +=的反函数为Y =( )。 A. E D C B A Y +++?= B. E D C B A Y +++?= C. )(E D C B A Y +++?= D. )(E D C B A Y +++?= 3. 十进制数25用8421BCD 码表示为( )。 A. 10101 B. 0010 0101 C. 100101 D. 10101 4. 若用1表示高电平,0表示低电平,则是( )。 A. 正逻辑 B. 负逻辑 C. 正、负逻辑 D. 任意逻辑 5. 下逻辑图的逻辑表达式为( )。 A. AC BC AB Y = B. BC AC AB Y ++= C. BC AC AB Y ++= D. BC AC AB Y = 6. 三态门的逻辑值正确是指它有( )。 A. 1个 B. 2个 C. 3个 D. 4个 9. 组合逻辑电路在电路结构上的特点下列不正确的是( )。 A. 在结构上只能由各种门电路组成 B. 电路中不包含记忆(存储)元件 C. 有输入到输出的通路 D. 有输出到输入的反馈回路 10. 已知74LS138译码器的输入三个使能端(E 1=1,022==B A E E )时,地址码A 2A 1A 0=011,则输 出07~Y Y 为( )。 A. 11111101 B. 10111111 C. 11110111 D. 11111111 三 、简答题(共15分,每题5分)

数字逻辑电路期末考试试卷及答案

期末考试试题(答案) 一、选择题(每小题2分,共20分) 1.八进制(273)8中,它的第三位数2 的位权为___B___。 A.(128)10B.(64)10C.(256)10 D.(8)10 2. 已知逻辑表达式C B C A AB F+ + =,与它功能相等的函数表达式 _____B____。 A.AB F=B.C AB F+ = C.C A AB F+ =D.C B AB F+ = 3. 数字系统中,采用____C____可以将减法运算转化为加法运算。 A.原码B.ASCII码C.补码D.BCD码4.对于如图所示波形,其反映的逻辑关系是___B_____。 A.与关系B.异或关系C.同或关系D.无法判断 5.连续异或1985个1的结果是____B_____。 A.0B.1 C.不确定D.逻辑概念错误 6. 与逻辑函数D C B A F+ + + =功能相等的表达式为___C_____。 A.D C B A F+ + + =B.D C B A F+ + + = C.D C B A F=D.D C B A F+ + = 7.下列所给三态门中,能实现C=0时,F=AB;C=1时,F为高阻态的逻辑功能的是____A______。 B A F & ? F B A &

8. 如图所示电路,若输入CP脉冲的频率为100KHZ,则输出Q的频率为_____D_____。 A. 500KHz B.200KHz C. 100KHz D.50KHz 9.下列器件中,属于时序部件的是_____A_____。 A.计数器B.译码器C.加法器D.多路选择器 10.下图是共阴极七段LED数码管显示译码器框图,若要显示字符“5”,则译码器输出a~g应为____C______。 A. 0100100 B.1100011 C. 1011011 D.0011011 二、填空题(每小题2分,共20分) 11.TTL电路的电源是__5__V,高电平1对应的电压范围是__2.4-5____V。 12.N 个输入端的二进制译码器,共有___N2____个输出端。对于每一组输入代码,有____1____个输出端是有效电平。 13.给36个字符编码,至少需要____6______位二进制数。 14.存储12位二进制信息需要___12____个触发器。

数字逻辑课程设计 数字电子钟

课程设计(综合实验)报告 题目:第四个实验数字电子钟院系:计算机科学系 班级:计算计科学与技术1班学号: 学生姓名: 队员姓名: 指导教师:

《数字逻辑》综合实验 任务书 一、目的与要求 1 目的 1.1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。 1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能 及其基本工程素质。 2.要求 2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。 2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确

使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写综合实验总结报告。 2.6通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 2.7在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 数字电子钟 设计一台能显示时﹑分、秒的数字电子钟,要求如下: 1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器; 2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。 元器件选择 74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块TDS-4实验箱 导线若干 所需要器件的图片如下

数字逻辑试卷(A)

数字逻辑试卷(A) 1.十进制数的特点一是( 逢十进一 ),二是有( 十 )个计数符号 2. R 进制数R M 可表示为R M = ∑--=1 n m i i a ( R ) 3. (15.75)10 =( 1111.11 )8 4. (562)10 =( 0101 0110 0010 )8421BCD 5.(1010.10)2 =( (A.8)16 )16 6.每位八进制数可用( 3 )位二进制数表示。 7.ASCII 码是七位二进制代码,最多可以表示( 128 )个字符。 8.最基本的逻辑门电路是( 与 )门、( 或 )门和( 非 )门。 9.8个变量有( 28 )个最小项。 10.施密特触发器V +≠V -称之为( 滞后 )特性。 二、判断(每小题1分,本大题10分) 1.循环码也是BCD 码。( n ) 2. 同或是异或的反。( y ) 3. 1=+ABCD D C B A ( n ) 4.组合电路是各种门电路构成的,不包含触发器。( y ) 5.二进制译码器给定输入,所有输出中只有一个是有效电平。( y ) 6.优先编码器允许多个输入同时有效。( y ) 7.边沿触发器的状态变化只能发生在CP 有效边沿到达的一瞬间,在CP 的高电平、低电平期间以及无效边沿时触发器状态不变。( y ) 8.异步时序电路无统一的时钟。( y ) 9.Mealy 型时序电路的输出是输入和现态的函数。( y ) 10.多谐振荡器需要外加触发信号才能产生矩形波输出。( n ) 三、单项选择(将正确选择的编号填入括号中,每小题1分,本大题10分) 1.下列BCD 码哪个是无权码?(B .余3码 ) 2.逻辑函数的哪种表示方式是唯一的?(B .真值表) 3.下列哪种门输出端不能直接并联?( C .普通与非门) 4.下列哪种电路在输出端可以得到输入变量的全体最小项?(A .二进制译码器 ) 5.二——十进制编码器有十个输入,有几个输出?( B.4个 )

2018~2019数字逻辑设计期末考题

2018~2019 数字逻辑设计期末考题 回忆 by liuxilai && 18~19年选课同学 一、简答题(6×5=30分) 1、非确定组合逻辑优化中的蕴含项、质蕴含项和实质蕴含项 2、简述SR、D、JK、D触发器的功能 3、建立时间(setup time)和保持时间的概念,并说明如何确保同步时序逻辑电路正常的工作 4、请简述摩尔机、米利机和同步米利机的概念,并说明如何将前两者转换为后者 5、状态等价的两个含义 6、状态分配的五个原则 二、组合逻辑(2×10=20分) 1、用卡诺图化简以下函数,画卡诺图,并写出蕴含项与实质蕴含项(SOP) F(A,B,C,D)=m(0,2,8,9,10,14)+d(3,4,5) 2、用QM算法化简以下函数 F(A,B,C,D)=m(0,1,2,5,6,7,8,9,10,14)+d(12) 三、时序逻辑(15×2=20) 1、用163计数器设计从1~24计数的计数器,输入为时钟信号CLK,,使能信号En,输出为进位ECO与h[4:0]。 2、使用蕴含表法化简以下状态表 四、状态机设计(30分) 1(10分)、用verilog语言,设计识别串行同步输入序列为1的同步米利机,初始状态复位时输出为0,当1的个数被3整除时输出为1. 2、(20分)米利机,当输入序列中出现011或101时,输出z0有效,序列可重叠。

1(10分)、给出以下状态图,补充完整(图中状态内的编码与输入序列无关)。 2)使用D触发器与最少的NAND与非门,根据上面的状态分配设计电路 (2分)画出二进制状态表 (8分)触发器激励输入与电路输出的卡诺图化简,并写出化简后的函数。

09年数字逻辑期末试卷(A卷)试题及答案

09年数字逻辑期末试卷(A卷)试题及答案

华东师范大学期末试卷(A ) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑______ 学生姓名:___________________ 学 号:___________________ 专 业:___________________ 年级/班级:__________________ 课程性质:公共必修、公共选修、专业必修、专业选修 ………………………………………………………………………………………… 一、填空题 (20分,每空2分) 1. (34.5)10 = ( (1) 11 0100.0101 )8421BCD = ( (2) 100010.1 )2 = ( (3) 2 2.8 )16 。 2. ()Y A B C CD =++的对偶式为___(4)Y ’A C B C A D ''''''=++ 。 3. 在数字系统中,要实现线与功能可选用___(5)OC/OD 门;要实现总线结构可选用___(6)传输 门。 4. 化简F (A,B,C,D )=∑m(3,5,6,7,10)+d (0,1,2,4,8)可得 (7) F =A ’+B ’D ’ 。 5. 已知某左移寄存器,现态为011001,若空位补0,则次态为 (8)110010 。 6. 二进制数(- 10110)2的反码和补码分别为 (9)101001 和 (10)101010 。

二、选择题(20分,每题2分) 1.在下列逻辑部件中.不属于组合逻辑部件的是 D 。 A.译码器 B.编码器 C.全加器 D.寄存器 2.逻辑表达式A+BC = B 。 A.A+C B.(A+B)(A+ C) C.A+B+ABC D.B+C 3.能得出X=Y的是 C A.X+Z=Y+Z B.XZ=YZ C. X+Z=Y+Z且XZ=YZ D.以上都不能 4.为将D触发器转换为T触发器,图中所示电路的虚框内应是 _ A_。 A.同或门B.异或门 C.与非 门D.或非门 5.设A1、A2、A3为三个信号,则逻辑函数 C 能检测出这三个信号中 是否含有奇数个高电平。 A.A1A2A3 B.A1+A2+A3 C.A1⊕A2⊕A3 D.A1+A2A3 6.以下说法正确的是 C A.TTL门电路和CMOS门电路的输入端都可以悬空

数字逻辑课程设计十路抢答器

数字逻辑系统 课程设计 项目:十路智力竞赛抢答器 班级: 09电子A班 姓名:刘金梁 学号: 0915211039 题目及要求: 题目4多路智力竞赛抢答器 一、任务 设计一个多路智力竞赛抢答器。 二、设计要求 1、基本要求 <1)设计一个4路<1~4)智力竞赛抢答器,主持人可控制系统的清零和抢答的开始,控制电路可实现最快抢答选手按键抢答的判别和锁定功能,并禁止后续其他选手抢答。<2)抢答选手确定后给出一声音响的提示和选手编号的显示,抢答选手的编号显示保持到系统被清零为止。 2、发挥部分 <1)扩展为10路<1~10)智力竞赛抢答器。 <2)设计抢答最长时间<30秒)限制和倒计时显示。 1)根据题目要求设计系统总框图及总原理图如下:

下面分模块对各个部分进行方案选取和论证: 1.抢答按钮 抢答顾名思义就是要求快速,方便,故选用微动开关,而不选用别扭的拨动开关。 2.译码电路及数码显示 译码电路主要有两种,一种是用芯片进行译码,比如74ls148(8-3译码器>,可用两片组合成16-4译码器,选取其中10路。下图为四路采用148进行译码的范例 另一种是利用加二极管防止反向然后直接连接到4511等七段显示译码器如下图

个人认为第二种方法更简单、便捷,故采取第二种。 3.锁存器 锁存器采用74ls74 D触发器,经过4个或门处理 当有按键按下去的时候置高,从而 D 触发器5 端输出为高电平反馈到 4511 的 5 端<使能端),从而实现锁定功能。 4.报警电路

因为要求抢答报警时只能响一声,故用555另配合电阻、电容可形成大约1秒 单稳触发器,因为 低脉冲的时候触发 而按键按下置高, 故需加一反向器, 用或非74ls02也可。 T=RC*ln3=1.1RC,故电阻取10u电阻取100k。 5.减数及译码电路 要产生1hz的秒脉冲,同样选用555定时器,接法如下电路, 故选用电容100u,则计算出=14.3k,选用 R1=4.3K,R2=5K。减计数芯片选用十进制74ls192,接法如下,把秒脉冲输入到底下那片的4脚,计数十次后在13脚会产生一个脉冲,输入到上面那片,而上面那片从3减到0后13脚也产生一个负脉冲输入74ls74的清零端,并使74的输出负端接到计数器的置数端使之一直置数,认为倒计时结束,显示部分仍用4511译码显示 6.主持人开关

数字逻辑试卷

东莞理工学院(本科)试卷(A 卷) 2008 --2009 学年第一学期 《数字逻辑》试卷 开课单位: 计算机学院 ,考试形式:闭卷,允许带 入场 题序 一 二 三 四 五 六 七 八 总 分 得分 评卷人 一、 填空题(共40分,每题2 分) 1、十进制数126.625的二进制编码 ,十六进制编码 。 2、十进制数15的BCD 码 ,余3码 。 3、已知[N]补= 10100000,则其[N]原= 。 4、逻辑函数F=A ⊕B 和G=A ⊙B 满足关系 。(填选项代号) A 、G F = B 、G F =' C 、G F =' D 、1G F ⊕= 5、某存储器地址线为A 0-A 11,数据线为D 0-D 7,该存储器容量为 字节。 6、消除函数 的竞争冒险,应增加冗余项 。 7、实验时,TTL 芯片发烫,不可能的原因是 。 A 、插反芯片 B 、电源使用12V C 、电源与地短路 D 、电源使用4V 8 、 常 用 两 种 集 成 同 步 时 序 电 路 器 件 、 。 9、脉冲异步时序电路中,触发器状态的变化 (是、不是)同时发生的。 10、某同步时序电路,状态转移图如图所示,其功能 得分

是。 11、555定时器的功能有、、。 12、超前进位加法器与串行进位加法器相比,速度。 13、8位ADC输入满量程为10V,当输入5V电压值,数字输出量为。 14、芯片74LS32如下图所示,内含个输入端的门。 15、若要某共阴极数码管显示数字“5”,则显示代码abcdefg为。(0000000~1111111) 16、与TTL门电路相比,CMOS门电路功耗(大、小),速度(快、慢)。 17、电可擦可编程存储器是。 A.ROM B.PROM C.EPROM D.EEPROM 18、在下列电路中不是组合逻辑电路的是。 A、译码器 B、编码器 C、全加器 D、寄存器 19、触发器按结构可分为基本触发器、触发器、触 发器、触发器等。 20、与普通门电路不同,OC门在工作时需要外接和。 二、逻辑函数简化(共14分)得分

(完整版)数字逻辑期末试卷(B卷)试题及答案

华东师范大学期末试卷(B) 2009 — 2010 学年第 一 学期 课程名称:___数字逻辑________ 学生姓名:___秦宽________________ 学 号:_2013041046__________________ 专 业:____软件工程_______ 年级/班级:13级软件工程 课程性质:公共必修、公共选修、专业必修、专业选修 一、填空题 (20分,每空2分) 1. (2010)D =( )B = ( )H = ( )8421BCD 答案:(111 1101 1010)B = (7DA )H = (0010 0000 0001 0000)8421BCD 2. 仓库门上装了两把暗锁,A 、B 两位保管员各管一把锁的钥匙,必须二人同时开锁才能进库。这种逻辑关系为 。 答案:与逻辑 3. 逻辑函数式F=AB+AC 的对偶式为 ,最小项表达式为∑=m F ( )。 答案:))((C A B A F D ++= ∑=m F (5,6,7) 2.逻辑函数D AC CD A C AB D C ABD ABC F ''''''+++++=的最简与或式是 。 答案:'D A + 4. 从结构上看,时序逻辑电路的基本单元是 。 答案:触发器 5. JK 触发器特征方程为 。 答案:Q K JQ ''+ 6.A/D 转换的一般步骤为:取样,保持, ,编码。 答案:量化

二、选择题 (20分,每题2分) 1. 计算机键盘上有101个键,若用二进制代码进行编码,至少应为( )位。 A) 6 B) 7 C) 8 D) 51 答案:B 2. 在函数F=AB+CD 的真值表中,F=1的状态有( )个。 A) 2 B) 4 C) 6 D) 7 答案:D 3. 为实现“线与”逻辑功能,应选用( )。 A) 与非门 B) 与门 C) 集电极开路(OC )门 D) 三态门 答案:C 4. 图1所示逻辑电路为( )。 A) “与非”门 B) “与”门 C)“或”门 D) “或非”门 图1 答案:A 5. 在下列逻辑部件中,属于组合逻辑电路的是( )。 A) 计数器 B) 数据选择器 C) 寄存器 D) 触发器 答案:B 6. 已知某触发器的时钟CP ,异步置0端为R D ,异步置1端为S D ,控制输入端V i 和输出Q 的波形如图2所示,根据波形可判断这个触发器是( )。 B C

数字逻辑心得体会(多篇范文)

数字逻辑心得体会 数字逻辑与系统课程在工科类学科属于普遍的基础性课程,计算机专业、电子信息类专业及其机电类专业都涉及该课程的学习。此次课程培训是以数字逻辑为基础,系统分析为桥梁,系统综合为目的,全面介绍数字电路的基本理论、分析方法、综合方法和实际应用,并着重从以下几个方面进行了介绍 1.介绍如何整理、设计电子教案; 2.如何讲好本门课程; 3.教学手段与教学方法在本课程的体现; 4.综合设计实验的设计与实施; 5.国家精品课程的申报与建设。 在解决如何讲好本门课程环节,侯教授提出了“厚理博术、知行相成”的理念,使我对该课程的教学有了更深的认识。在我院的实际教学过程中,由于课时少,实验的课时被大量压缩,侯教授关于课程实验的处理方式给了我们一种全新的方案。侯教授课件中很多flash 动画的灵活应用,也较好的解决了那些用语言无法表达清楚的问题的讲解。 研究性教学和双语教学对年轻教师提出了新的要求。作为一名年轻教师,刚走上讲台不久,在课程的讲授过程中,基本都是采用传统的教学方法,即以讲授为主,实验为辅,案例教学基本没有。平铺直叙和填鸭式教学早被学生所厌倦。刘颖教授的研究性教学极好的调动

了学生参与教学的积极性。通过刘颖教授的报告,我深深的感受到数字逻辑与系统课程不仅是一门基础课程,同时也是一门综合性较高的实用课程。研究性教学方式的提出也给我们这些年轻教师提出了新的努力方向。研究性教学虽然给年轻教师提出了更大的要求和较大的压力,但是也是一种努力工作的动力,促进年轻教师的不断成长。同时,娄淑琴教授关于双语教学的报告,也给我们提出了新的要求,自己深深感受到责任的重大,压力也越来越大。但是也激发自己努力的激情与信心。研究性教学和双语教学在一定程度上对年轻教师的科研、应用水平和外语能力等综合素质提出了更高的要求,同时,进一步促进教师阅读国外科技文献、追踪行业发展新动向,保持教师敏锐的学习能力,利于形成新的观点和见解。 通过此次培训,也感受到了师德在教学工作中的重要作用的体会。侯教授及其团队教师的人格魅力在实际教学中起到了很好的促进教学作用。在培训中,很多参加培训的老师被侯教授的敬业精神所感动,所鼓舞,这一点值得我们年轻教师学习并发(请你支持)扬光大。当崇高的师德与高超的教学技术融于一身时,这个才是大师。 在此次培训中,我积极与各院校教师交流,共同探讨该门课程的实际教学中遇到的问题,通过交流大家认为在数字电子技术基础教学工作中遇到的主要困难是:很多学生认为学习数字逻辑课程没用,学习不主动,没有创新意识。并从其它老师处学习到了解决诸如分析键盘译码电路、奇偶检验电路、计算机i/o接口地址译码电路,设计火灾

数字逻辑考题及答案

数字逻辑试题1答案 一、填空:(每空1分,共20分) 1、()8 =( )16 2、 10= ( )2 3、(FF )16= ( 255 )10 4、[X]原=,真值X= ,[X]补 = 。 5、[X]反=,[X]补= 。 6、-9/16的补码为,反码为 。 7、已知葛莱码1000,其二进制码为1111, 已知十进制数为92,余三码为1100 0101 8、时序逻辑电路的输出不仅取决于当时的输入,还取决于电路的状态 。 9、逻辑代数的基本运算有三种,它们是_与_ 、_或__、_非_ 。 10、1⊕⊕=B A F ,其最小项之和形式为_ 。AB B A F += 11、RS 触发器的状态方程为_n n Q R S Q +=+1_,约束条件为0=SR 。 12、已知B A F ⊕=1、B A B A F +=2,则两式之间的逻辑关系相等。 13、将触发器的CP 时钟端不连接在一起的时序逻辑电路称之为_异_步时序逻辑电路 。 二、简答题(20分) 1、列出设计同步时序逻辑电路的步骤。(5分) 答:(1)、由实际问题列状态图 (2)、状态化简、编码 (3)、状态转换真值表、驱动表求驱动方程、输出方程 (4)、画逻辑图 (5)、检查自起动 2、化简)(B A B A ABC B A F +++=(5分) 答:0=F 3、分析以下电路,其中RCO 为进位输出。(5分) 答:7进制计数器。 4、下图为PLD 电路,在正确的位置添 * , 设计出B A F ⊕=函数。(5分)

5分 注:答案之一。 三、分析题(30分) 1、分析以下电路,说明电路功能。(10分) 解: ∑∑==) 7,4,2,1()7,6,5,3(m Y m X 2分 A B Ci X Y 0 0 0 0 0 0 1 1 0 1 0 0 1 0 1 1 1 0 1 0 0 0 1 1 0 1 1 0 1 1 0 1 0 1 1 1 1 1 8分 2、分析以下电路,其中X 为控制端,说明电路功能。(10分) 解:XABC C B A X ABC X C B A X C B A X C B A X F ++++?+?= 4分 )()(ABC C B A X C B A X F ++⊕⊕= 4分 所以:X=0 完成判奇功能。 X=1 完成逻辑一致判断功能。 2分

数字逻辑期末试题及答案

西 安 金 戈 技 术 学 校 2008年秋季学期期末考试数字逻辑电路试卷 注意事项 1. 考试时间:120 分钟。 2. 本卷依据2005年颁布的《技工类院校考试要求》命制。 3. 请首先按要求在试卷的规定位置填写您的姓名、准考证号和所在班级。 4. 请仔细阅读各种题目的回答要求,在规定的位置填写您的答案。 5. 不要在试卷上乱写乱画,不要在试卷上填写无关的内容。 一. 判断: 1.数字电路抗干扰能力强的原因是只传递1和0的数字信息.. ( ) 2.BCD 码就是8421BCD 码. ( ) 3.卡诺图是真值表示的另一种形式,具有唯一性. ( ) 4.二极管可组成与门电路,但不能组成或门电路. ( ) 5.三极管非门电路中的三极管主要工作在放大状态. ( ) 6.组合逻辑电路中有具有记忆功能的逻辑部件. ( ) 7.译码是编码的逆过程. ( ) 8.触发器也称为半导体存贮单元. ( ) 9.同步触发器存在空翻现象,而边沿型触发器和主从型触发器克服了空翻. 10.JK 触发器的JK 端相当KS 触发器的SR 端. ( ) 二.选择: 1.若编码器编码输出位数为4位,则最多可对( )个输入信号编码. A.4 B.6 C.16 D.32 2.16选1数据选择器其地址输入至少应有( )位. A.2 B.4 C.8 D.16 3.半加器有( ),全加器有( ) A.2个输入端,2个输出端 B.2个输入端,3个输出端 C.3个输入端,2个输出端 D.3个输入端,3个输出端 4.二极管可组成的电路( ) A.只能是与门 B.只能是或门 C.与门和或门都可以 D.非门 5.通常能实现“线与”功能的门电路是( ) A.OC 门 BTSL.门 C.TTL 与门 6.BCD 码是( ) A.二进制码 B.十进制码 C.二一十进制码 7.下列逻辑函数表示方法中,具有唯一性的是(多选)( ) A.真值表 B.逻辑表达式 C.逻辑图 D.卡诺图 8.下列选项中不属于卡诺图特点的是( ) … … ……… ……考……………生… ……… …答……………题……………不……………准……………超……………过……………此……………线…………………