模数转换器实验

模数转换器实验
模数转换器实验

实验七 D / A、A / D转换器

一、实验目的

1、了解D/ A和A / D转换器的基本工作原理和基本结构

2、掌握大规模集成D /A和A / D转换器的功能及其典型应用

二、实验原理

在数字电子技术的很多应用场合往往需要把模拟量转换为数字量,称为模/ 数转换器(A /D转换器,简称ADC);或把数字量转换成模拟量,称为数/ 模转换器(D / A转换器,简称DAC)。完成这种转换的线路有多种,特别是单片大规模集成A/D、D / A转换器问世,为实现上述的转换提供了极大的方便。使用者可借助于手册提供的器件性能指标及典型应用电路,即可正确使用这些器件。本实验将采用大规模集成电路DAC0832实现D /A转换,ADC0809实现A / D转换。

1、 D /A转换器DAC0832

DAC0832是采用CMOS工艺制成的单片电流输出型8位数/ 模转换器。图15-1是DAC0832的逻辑框图及引脚排列。

图15-1 DAC0832单片D/A转换器逻辑框图和引脚排列

器件的核心部分采用倒T型电阻网络的8位D/A转换器,如图15-2所示。它是由倒T型R-2R电阻网络、模拟开关、运算放大器和参考电压V

四部分组

REF

成。

图15-2 倒T 型电阻网络D / A 转换电路

运放的输出电压为

++=----2n 2n 1n 1n n f REF O 2D 2(D R

2R

V V ···…0·2D 0+)

由上式可见,输出电压V O 与输入的数字量成正比,这就实现了从数字量到模拟量的转换。

一个8位的D / A 转换器,它有8个输入端,每个输入端是8位二进制数的一位,有一个模拟输出端,输入可有28 =256个不同的二进制组态,输出为256个电压之一,即输出电压不是整个电压范围内任意值,而只能是256个可能值。

DAC0832的引脚功能说明如下: D 0-D 7 :数字信号输入端

ILE :输入寄存器允许,高电平有效 CS : 片选信号,低电平有效

1WR :写信号1,低电平有效

XFER :传送控制信号,低电平有效

2WR :写信号2,低电平有效

I OUT1,I OUT2:DAC 电流输出端

R fB :反馈电阻,是集成在片内的外接运放的反馈电阻 V REF :基准电压(-10~+10)V V CC :电源电压(+5~+15)V

AGND :模拟地

> 可接在一起使用

NGND :数字地

DAC0832输出的是电流,要转换为电压,还必须经过一个外接的运算放大器,实验线路如图15-3所示。

图15-3 D/A转换器实验线路

2、 A /D转换器ADC0809

ADC0809是采用CMOS工艺制成的单片8位8通道逐次渐近型模/ 数转换器,其逻辑框图及引脚排列如图15-4所示。

器件的核心部分是8位A/D转换器,它由比较器、逐次渐近寄存器、D/ A转换器及控制和定时5部分组成。

图15-4 ADC0809转换器逻辑框图及引脚排列。

ADC0809的引脚功能说明如下: IN o -IN 7:8路模拟信号输入端 A 2、A 1、A 0:地址输入端

ALE :地址锁存允许输入信号,在此脚施加正脉冲,上升沿有效,此 时锁存地址码,从而选通相应的模拟信号通道,以便进行A / D 转换。

START :启动信号输入端,应在此脚施加正脉冲,当上升沿到达时, 内部逐次逼近寄存器复位,在下降沿到达后,开始A / D 转换过程。 EOC :转换结束输出信号(转换结束标志),高电平有效。 OE :输入允许信号,高电平有效。

CLOCK(CP):时钟信号输入端,外接时钟频率一般为640KHz 。

V cc :+5V 单电源供电

V REF (+)、V REF (-):基准电压的正极、负极。一般V REF (+)接+5V 电源,V REF (-)接地。

D 7-D o :数字信号输出端

1)模拟量输入通道选择

8路模拟开关由A 2、A 1、A 0三地址输入端选通8路模拟信号中的任何一路进行A / D 转换,地址译码与模拟输入通道的选通关系如表15-1所示。

表15-1

2)D / A 转换过程

在启动端(START )加启动脉冲(正脉冲),D / A 转换即开始。如将启动端(START )与转换结束端(EOC )直接相连,转换将是连续的,在用这种转换方式时,开始应在外部加启动脉冲。

三、实验设备及器件

1、 +5V 、±15V 直流电源

2、 双踪示波器

3、计数脉冲源

4、逻辑电平开关

5、逻辑电平显示器

6、直流数字电压表

7、 DAC0832、ADC0809、μA741、电位器、电阻、电容若干

四、实验内容

1、 D /A转换器— DAC0832

(1) 按图15-3接线,电路接成直通方式,即CS、1、2、接地;

ALE、V

CC 、V

REF

接+5V电源;运放电源接±15V;D

~D

7

接逻辑开关的输出插口,

输出端v

O

接直流数字电压表。

(2) 调零,令D

0~D

7

全置零,调节运放的电位器使μA741输出为零。

(3) 按表15-2所列的输入数字信号,用数字电压表测量运放的输出电压V

,并将测量结果填入表中,并与理论值进行比较。

2、A / D转换器— ADC0809

按图15-5接线

图15-5 ADC0809实验线路

(1)八路输入模拟信号1V~4.5V,由+5V电源经电阻R分压组成;变换

结果D

0~D

7

接逻辑电平显示器输入插口,CP时钟脉冲由计数脉冲源提供,取

f=100KHz;A

0~A

2

地址端接逻辑电平输出插口。

(2)接通电源后,在启动端(START)加一正单次脉冲,下降沿一到即开始

A /D转换。

(3)按表15-3的要求观察,记录IN

0~IN

7

八路模拟信号的转换结果,并将

转换结果换算成十进制数表示的电压值,并与数字电压表实测的各路输入电压值进行比较,分析误差原因。

五、实验预习要求

1、复习A/D、D/A转换的工作原理

2、熟悉ADC0809、DAC0832各引脚功能,使用方法。

3、绘好完整的实验线路和所需的实验记录表格

4、拟定各个实验内容的具体实验方案

六、实验报告

整理实验数据,分析实验结果。

基于单片机的数模转换设计

目录 1、系统方案.......................................... - 3 - 1.1、方案比较与选择............................... - 3 - 1.1.1、单片机选择与论证........................ - 3 - 1.1.2、显示器件选择与论证...................... - 3 - 1.1.3、键盘形式选择与论证...................... - 4 - 1.1.4排阻形式选择与论证........................ - 4 - 2理论分析与计算 ..................................... - 8 - 2.1、D/A转换器的主要技术指标......................... - 8 - 1.分辨率......................................... - 8 - 2.转换精度....................................... - 8 - 3.输出电压(或电流)的建立时间(转换速度) ...... - 8 - 4. 温度系数 2.2 数模转换器 2.2.1权电阻网络DAC的原理分析..................... - 9 - 3、电路与程序设计.................................. - 11 - 3.1.1、总体框图设计........................... - 11 - 3.1.2、显示电路............................... - 11 - 3.1.3、权电路................................. - 12 - 3.1.4、按键电路............................... - 13 - 3.1.5、驱动电路............................... - 14 -

∑-△模数转换器的原理及应用

∑-△模数转换器的原理及应用 张中平 (东南大学微电子机械系统教育部重点实验室,南京210096) 摘要:∑-△模数转换器由于造价低、精度高、性能稳定及使用方便等特点,越来越广泛地使用在一些高精度仪器仪表和测量设备中,介绍该转换器的基本原理,并重点举例介绍AD7708芯片的应用,该芯片是16 bit模数转换器,与24 bit AD7718引脚相同,可直接升级。 关键词:模数转换器;寄存器;串行口 我们通常使用的模数转换器(ADC)大多为积分型和逐次逼近型,积分型转换效果不够好,转换过程中带来的误差比较大;逐次逼近型转换效果较好但制作成本较高,尤其是高位数转换,转换位数越多,精度越高,制作成本就越高。而∑-△ADC可以以相对逐次逼近型简单的电路结构,而得到低成本,高位数及高精度的转换效果∑-△ADC大多设计为16或24 bit转换精度。近几年来,在相关的高精度仪器制作领域该转换器得到了越来越广泛的应用[1]。 1 ∑-△ADC的基本工作原理简介 ∑-△模数转换器的工作原理简单的讲,就是将模数转换过后的数字量再做一次窄带低通滤波处理。当模拟量进入转换器后,先在调制器中做求积处理,并将模拟量转为数字量,在这个过程中会产生一定的量化噪声,这种噪声将影响到输出结果,因此,采用将转换过的数字量以较低的频率一位一位地传送到输出端,同时在这之间加一级低通滤波器的方法,就可将量化噪声过滤掉,从而得到一组精确的数字量[1,2]。 2 AD7708/AD7718,∑-△ADC的应用 AD7708/AD7718是美国ADI公司若干种∑ΔADC中的一种。其中AD7708为16 bit转换精度,AD7718为24 bit转换精度,同为28条引脚,而且相同引脚功能相同,可以互换。为方便起见,下面只介绍其中一种,也是我们工作中用过的AD7708。 2.1AD7708的工作原理 同其它智能化器件一样,AD7708也可以用软件来调节其所具有的功能,即通过微控制器MCU编程向AD7708的相应寄存器填写适当的参数。AD7708芯片中共有11个寄存器, 当模式寄存器(Mode Regis-ter)的最高位后,其工作方框图[2]如图1所示。

数模模数转换实验报告

数模模数转换实验报告 一、实验目的 1、了解数模和模数转换电路的接口方法及相应程序设计方法。 2、了解数模和模数转换电路芯片的性能和工作时序。 二、实验条件 1、DOS操作系统平台 2、数模转换芯片DAC0832和模数转换器ADC0809芯片。 三、实验原理 1、数模转换: (1)微机处理的数据都是数字信号,而实际的执行电路很多都是模拟的。因此微机的处理结果又常常需要转换为模拟信号去驱动相应的执行单元,实现对被控对象的控制。这种把数字量转换为模拟量的设备称为数模转换器(DAC),简称D/A。 (2)实验中所用的数模转换芯片是DAC0832,它是由输入寄存器、DAC 寄存器和D/A 转换器组成的CMOS 器件。其特点是片内包含两个独立的8 位寄存器,因而具有二次缓冲功能,可以将被转换的数据预先存在DAC 寄存器中,同时又采集下一组数据,这就可以根据需要快速修改DAC0832 的输出。 2、模数转换: (1)在工程实时控制中,经常要把检测到的连续变化的模拟信号,如温度、压力、速度等转换为离散的数字量,才能输入计算机进行处理。实现模拟量到数字量转换的设备就是模数转换器(ADC),简称A/D。

(2)模数转换芯片的工作过程大体分为三个阶段:首先要启动模数转换过程。其次,由于转换过程需要时间,不能立即得到结果,所以需要等待一段时间。一般模数转换芯片会有一条专门的信号线表示转换是否结束。微机可以将这条信号线作为中断请求信号,用中断的方式得到转换结束的消息,也可以对这条信号线进行查询,还可以采用固定延时进行等待(因为这类芯片转换时间是固定的,事先可以知道)。最后,当判断转换已经结束的时候,微机就可以从模数转换芯片中读出转换结果。 (3)实验采用的是8 路8 位模数转换器ADC0809 芯片。ADC0809 采用逐次比较的方式进行A/D 转换,其主要原理为:将一待转换的模拟信号与一个推测信号进行比较,根据推测信号是大于还是小于输入信号来决定增大还是减少该推测信号,以便向模拟输入逼近。推测信号由D/A 转换器的输出获得,当推测信号与模拟信号相等时,向D/A 转换器输入的数字就是对应模拟信号的数字量。ADC0809 的转换时间为64 个时钟周期(时钟频率500K 时为128S)。分辨率为 8 位,转换精度为±LSB/2,单电源+5V 供电时输入模拟电压范围为04.98V。 四、实验内容 1、把DAC0832 的片选接偏移为10H 的地址,使用debug 命令来测试 DAC0832 的输出,通过设置不同的输出值,使用万用表测量Ua 和Ub 的模拟电压,检验DAC0832 的功能。选取典型(最低、最高和半量程等)的二进制值进行检验,记录测得的结果。实验结果记录如下:

单片机实验(AD转换)

实验三 A/D、D/A转换实验 一、实验目的 1.熟悉DAC0832并行接口数模转换器和TLC2543串行接口模数转换器的基本原理和编程方 法。 2.进一步熟悉单片机应用系统开发步骤和方法。 二、实验电路 实验所用元件清单如下表所示: 1. 串行A/D转换器TLC2543 2.并行D/A转换器DA0832 三、相关知识 (一)串行A/D转换器TLC2543 1. TLC2543的特性与引脚 TLC2543是TI公司的TLC2543 12位串行A/D转换器,使用开关电容逐次逼近技术完成A/D转换过程。由于是串行输入结构,能够节省80C51系列单片机的I/O资源,而且价格适中。

主要特点如下: ●12位分辨率A/D转换器。 ●在工作温度范围内10 s转换时间。 ●11个模拟输入通道。 ●3路内置自测试方式。 ●采样率为66kbps。 ●线性误差+1LSB(max)。 ●有转换结束(EOC)输出。 ●具有单、双极性输出。 ●可编程的MSB或LSB前导。 ●可编程的输出数据长度。 2. TLC2543的工作过程 TLC2543的工作过程分为两个周期:I/O 周期和实际转换周期。 1)I/O周期 I/O周期由外部提供的I/O CLOCK定义,延续8、12或16个时钟周期,决定于选定的输出数据长度。器件进入I/O周期后同时进行两种操作。 (1)在I/O CLOCK的前8个脉冲的上升沿,以MSB前导方式从DA TA INPUT端输入8位数据流到输入寄存器。其中前4位为模拟通道地址,控制14通道模拟多路器从11个模拟输入和3个内部自测电压中,选通一路送到采样保持电路,该电路从第4个I/O CLOCK脉冲的下降沿开始,对所选信号进行采样,直到最后一个I/O CLOCK脉冲的下降沿。I/O周期的时钟脉冲个数与输出数据长度(位数)有关,输出数据长度由输入数据的D3、D2选择为8、12或16位。当工作于12或16位时,在前8个时钟脉冲之后,DATA INPUT无效。

数模与模数转换器 习题与参考答案

第11章 数模与模数转换器 习题与参考答案 【题11-1】 反相运算放大器如图题11-1所示,其输入电压为10mV ,试计算其输出电压V O 。 图题11-1 解:输出电压为: mV mV V R R V IN F O 10010101 =?=-= 【题11-2】 同相运算放大器如图题11-2所示,其输入电压为10 mV ,试计算其输出电压V O 。 图题11-2 解:mV mV V R R V IN F O 110101111 =?=+=)( 【题11-3】 图题11-3所示的是权电阻D/A 转换器与其输入数字信号列表,若数字1代表5V ,数字0代表0V ,试计算D/A 转换器输出电压V O 。 11-3 【题11-4】 试计算图题11-4所示电路的输出电压V O 。 图题11-4 解:由图可知,D 3~D 0=0101 因此输出电压为:V V V V O 5625.151650101254 === )( 【题11-5】 8位输出电压型R/2R 电阻网络D/A 转换器的参考电压为5V ,若数字输入为,该转换器输出电压V O 是多少?

解:V V V V O 988.21532565100110012 58≈== )( 【题11-6】 试计算图题11-6所示电路的输出电压V O 。 图题11-6 解:V V V D D V V n n REF O 5625.1516501012 5~240==-=-=)()( 【题11-7】 试分析图题11-7所示电路的工作原理。若是输入电压V IN =,D 3~D 0是多少? 图题11-7 解:D3=1时,V V V O 6221234== ,D3=0时,V O =0。 D2=1时,V V V O 3221224== ,D2=0时,V O =0。 D1=1时,V V V O 5.1221214== ,D1=0时,V O =0。 D0=1时,V V V O 75.0221204 ==,D0=0时,V O =0 由此可知:输入电压为,D3~D0=1101,这时V O =6V++=,大于输入电压V IN =,比较器输出低电平,使与非门74LS00封锁时钟脉冲CLK ,74LS293停止计数。 【题11-8】 满度电压为5V 的8位D/A 转换器,其台阶电压是多少?分辨率是多少? 解:台阶电压为mV mV V STEP 5.192/50008== 分辨率为:%39.00039.05000/5.195000/===mV V STEP

数模及模数转换器习题解答

数模及模数转换器习题 解答 Document number:NOCG-YUNOO-BUYTT-UU986-1986UT

自我检测题 1.就实质而言,D/A 转换器类似于译码器,A/D 转换器类似于编码器。 2.电压比较器相当于1位A/D 转换器。 3.A/D 转换的过程可分为 采样 、保持、量化、编码4个步骤。 4.就逐次逼近型和双积分型两种A/D 转换器而言, 双积分型 的抗干扰能力强, 逐次逼近型 的转换速度快。 5.A/D 6.8位D/A 1时,输出电压为,若输入数字量只有最高位为1时,则输出电压为 V 。 A . B .2.56 C . D .都不是 7.D/A 转换器的主要参数有 、转换精度和转换速度。 A .分辨率 B .输入电阻 C .输出电阻 D .参考电压 8.图所示R-2R 网络型D/A 转换器的转换公式为 。 V REF v O 图 A .∑=?- =3 3 REF o 2 2 i i i D V v B .∑=?- =3 4 REF o 2 232i i i D V v D .∑=?= 3 4 REF o 2 2i i i D V v 9.D/A 转换器可能存在哪几种转换误差试分析误差的特点及其产生误差的原因。 解:D/A 转换器的转换误差是一个综合性的静态性能指标,通常以偏移误差、增益误差、非线性误差等内容来描述转换误差。 偏移误差是指D/A 转换器输出模拟量的实际起始数值与理想起始数值之差。 增益误差是指实际转换特性曲线的斜率与理想特性曲线的斜率的偏差。 D/A 转换器实际的包络线与两端点间的直线比较仍可能存在误差,这种误差称为非线性误差。

基本模数转换器(ADC)的设计

《数字逻辑电路分析与设计》课程 项目 实施报告 题目(A):基本模数转换器(ADC)的设计 组号: 8 任课教师:。。。 组长:。。。。 成员:。。。。 成员:。。。 成员:。。。 成员:。。。 联系方式:。。。 二零一四年十月二十五日

基本模数转换器(ADC )的设计 一.设计要求 (1) 设计一个每单次按下按钮,就能够实现数模转换的电路,并用LED 显示对应输入模拟电压(0—3V )的等级,当输入电压>3V 后,有“溢出”显示。 (2) 功能模块如图: (3) 图中的“模数转换”为本教材第六章的并行ADC 转换电路。在此基础上自行设计按键、LED 显示、模拟电压调节等模块,实现单次模数转换的功能。 模拟电压 调节模数转换LED 显示 按键 5V 电源

自行设计溢出标记的显示。 (4) 本电路的测试方法是,通过一个电位器对电源电压连续分压,作为ADC 的输入电压,每按下一次按键时,ADC 电路进行一次ADC 转换,并将转换的结果用数码管显示出来。注意不要求显示实际的电压值,仅显示模拟电压的量化等级。 二.电路原理图 LED 显示

三.设计思路 根据题目要求,我们的电路本应分五个个模块,但实验室缺少8-3编码器不能实现转化,所以只能有四个一下模块:模拟电压调节;比较电路;记忆模块;LED显示。模拟电压的调节可以用划变电阻来调节电压,理想中数模转化模块应由比较器,D触发器和编码器来实现,在我们的实际电路中我们只用了前两者。最终我们用LED的亮灭来显示结果。 具体原理叙述如下: 在比较电压时,将参考电压V ref经电阻分压器产生一组不同的量化电平V i:v1=1/16V ref,v2=3/16V ref,v3=5/16V ref ,v4=7/16V ref ,v5=9/16V ref ,v6=11/16V ref ,v7=13/16V ref ,v8=15/16V ref ,这些量化电平分别送到相应lm339比较器的反相输入端,而输入电压V同时作用于lm339比较器的同相输入端。 当V大于V i时,第i个比较器输出状态1,即高电平;反之,比较器输出状态0,即低电平。比较器的输出加到D触发器的输入端,在时钟脉冲CP的作用下,把比较器的输出存入触发器,得到稳定的状态输出Q,再由LED的亮暗状态显示,高电平则亮,低电平就暗。 当V≥15/16 V ref的时候,即V超过该转换器的最大允许的输入电压的时候产生“溢出”,我们使用了一个红色的报警LED亮作为显示。 此外,鉴于会因为按键时间的长短不一而造成的脉冲不整齐的问题,需要

实验2.6模数转换

实验2.6模数转换(ADC)实验 ?实验目的 ●学习模数转换的原理,了解其应用方向; ●学习模数转换芯片TLV0832的原理及使用; ●学习掌握ICETEK-DM6437-A板扩展ADC功能的原理和具体实现方式; ●学习并掌握模数转换的程序设计。 ?实验设备 ●PC一台,安装WindowsXP或以上版本操作系统;安装ICETEK-DM6437-A所需实验 和开发环境。 ●ICETEK-DM6437-A实验箱一台。如选择脱离实验箱测试,则配备ICETEK-XDS100v2+ 仿真器和ICETEK-DM6437-A,+5V电源一只,ICETEK-SG-A信号源一台及相关线缆电 源。 ●标准USB A口转Mini口电缆一条。 ●示波器一台(20M或以上)。 ?实验原理 通用计算机(包括单片机、DSP等)采用数字电路,其输入和输出的信号都是数字量,即高电压和低电压代表的1和0信号。但物理世界中存在的事物并不是按这种方式存在的,现实世界中的电信号也不一定与计算机的电平相同。我们管现实世界存在的信号(转化为电信号后)为模拟量,而计算机用的输入输出信号量叫数字量。那么就存在个问题:如何让我们要解决的物理世界中的各种信号输入到计算机(输入模拟量),计算机通过运算得到的解决方案再通过一定方式能影响到现实世界中的事物(输出模拟量)呢? 计算机输入外部信号的过程叫做信号采集,对于模拟量,使用专门的电路,将被采集的模拟量变换成计算机可以识别的数据,进行分时多次采集后输入计算机系统,我们管这种方式叫做信号的数字化,由于这个采集工作并非连续进行,而是要间隔一段时间(由于电路转换需要一定时间才能完成,在转换完成过程中的信号则无法转换而被舍弃),所以又称被测信号的离散化。 ●模数转换 对于将连续的模拟信号转换为离散的数字信号的过程,称之为模数转换(ADC),实现这一转换的电子电路称为模数转换器(ADC转换器,Analog-to-Digital Converter)。 ●模数转换用途 通过将实际模拟信号转换成数字信号,对于计算机来说实现了信号采集工作环节,这些信号能被计算机加以利用,进行快速数学解析运算后得到计算结果,以便进行智能决策。 模数转换一般应用在视频采集、音频采集、气象信息采集、针对各种对象的传感器。比如:电话拾音器、数字温度计、数字示波器、摄像头、电子秤、心电图仪器、CT扫描,等等等等运用非常广泛。 模数转换最常见的例子就是电视摄像了,我们使用摄像机将现实世界的连续画面进行拍摄(采样),得到的影像转换成数字信息,经过压缩、音视频合成,之后通过互联网进行传递,到了用户端再用一种能将数字信号恢复回模拟信号的装置(机顶盒),解码数据后送电视进行显示。视频信号是连续变化的,而我们在摄像时,一般采用 25帧每秒或30帧每秒的速度进行快速摄影,这就是对信号的离散化,这种离散化由于人眼的视觉暂留现象是可行的。

模数转换器原理

模数(A/D)转换器工作原理A/D转换器(Analog-to-Digital Converter)又叫模/数转换器,即是将模拟信号(电压或是电流的形式)转换成数字信号。这种数字信号可让仪表,计算机外设接口或是微处理机来加以操作或胜作使用。 A/D 转换器 (ADC)的型式有很多种,方式的不同会影响测量后的精准度。 A/D 转换器的功能是把模拟量变换成数字量。由于实现这种转换的工作原理和采用工艺技术不同,因此生产出种类繁多的A/D 转换芯片。 A/D 转换器按分辨率分为4 位、6 位、8 位、10 位、14 位、16 位和BCD码的31/2 位、51/2 位等。按照转换速度可分为超高速(转换时间=330ns),次超高速(330~3.3μS),高速(转换时间3.3~333μS),低速(转换时间>330μS)等。 A/D 转换器按照转换原理可分为直接A/D 转换器和间接A/D 转换器。所谓直接A/D 转换器,是把模拟信号直接转换成数字信号,如逐次逼近型,并联比较型等。其中逐次逼近型A/D 转换器,易于用集成工艺实现,且能达到较高的分辨率和速度,故目前集成化A/D 芯片采用逐次逼近型者多;间接A/D 转换器是先把模拟量转换成中间量,然后再转换成数字量,如电压/时间转换型(积分型),电压/频率转换型,电压/脉宽转换型等。其中积分型A/D 转换器电路简单,抗干扰能力强,切能作到高分辨率,但转换速度较慢。有些转换器还将多路开关、基准电压源、时钟电路、译码器和转换电路集成在一个芯片内,已超出了单纯A/D 转换功能,使用十分方便。 ADC 经常用于通讯、数字相机、仪器和测量以及计算机系统中,可方便数字讯号处理和信息的储存。大多数情况下,ADC 的功能会与数字电路整合在同一芯片上,但部份设备仍需使用独立的ADC。行动电话是数字芯片中整合ADC 功能的例子,而具有更高要求的蜂巢式基地台则需依赖独立的ADC 以提供最佳性能。 ADC 具备一些特性,包括: 1. 模拟输入,可以是单信道或多信道模拟输入; 2. 参考输入电压,该电压可由外部提供,也可以在ADC 内部产生; 3. 频率输入,通常由外部提供,用于确定ADC 的转换速率; 4. 电源输入,通常有模拟和数字电源接脚; 5. 数字输出,ADC 可以提供平行或串行的数字输出。在输出位数越多(分辨率越好)以及转换时间越快的要求下,其制造成本与单价就越贵。 一个完整的A/D转换过程中,必须包括取样、保持、量化与编码等几部分电路。 AD转换器需注意的项目: 取样与保持 量化与编码

模数转换器ADC0809应用原理

AD0809应用原理--很全面的资料 1. 0809的芯片说明: ADC0809是带有8位A/D转换器、8路多路开关以及微处理机兼容的控制逻辑的CMOS 组件。它是逐次逼近式A/D转换器,可以和单片机直接接口。 (1)ADC0809的内部逻辑结构 由上图可知,ADC0809由一个8路模拟开关、一个地址锁存与译码器、一个A/D转换器和一个三态输出锁存器组成。多路开关可选通8个模拟通道,允许8路模拟量分时输入,共用A/D转换器进行转换。三态输出锁器用于锁存A/D转换完的数字量,当O E端为高电平时,才可以从三态输出锁存器取走转换完的数据。 (2).引脚结构 IN0-IN7:8条模拟量输入通道

如下图所示,从ADC0809的通道IN3输入0-5V之间的模拟量,通过ADC0809转换成数字量在数码管上以十进制形成显示出来。ADC0809的VREF接+5V电压。 4.电路原理图 5.程序设计: (1).进行A/D转换时,采用查询EOC的标志信号来检测A/D转换是否完毕,若完毕则把数据通过P0端口读入,经过数据处理之后在数码管上显示。 (2).进行A/D转换之前,要启动转换的方法: ABC=110选择第三通道 ST=0,ST=1,ST=0产生启动转换的正脉冲信号 . (3). 关于0809的计算: ad0809是根据逐位逼近的方法产生数据的。。 参考电压为0-5V的话。以0809八位255的转换精度每一位的电压值为(5-0)/255≈0. 0196V 设输入电压为X则: X-27*0.0196>=0则AD7=1否则AD7=0。 X-26*0.0196>=0则AD6=1否则AD6=0。 X-20*0.0196>=0则AD0=1否则AD0=0。 (27指2的7次方。26-------20同理) 若参考电压为0-1V (1-0)/255≈0.0039V精度自然高了。。可测量范围小了。 1)汇编源程序: CH EQU 30H DPCNT EQU 31H DPBUF EQU 33H GDATA EQU 32H ST BIT P3.0

单片机AD模数转换实验报告

1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时和查询的方法编写A/D转换程序。 5、启动A/D转换,将输入模拟量的转换结果在显示器上显示。 三、电路原理图。 图1、电路仿真图 四、实验程序流程框图和程序清单。

1、 查询法: ORG 0000H START: LJMP MAIN ORG 0100H MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB , LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: MOV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H END display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码送位选信号延时1ms 熄灭第四位数码管延时1ms 返回

实验五 DAAD转换实验 完整版

实验五 D/A、A/D转换实验 一、实验目的 了解数/模、模/数转换基本原理, 掌握DAC0832、ADC0809的使用方法; 掌握定时数据采集程序的编制方法。 二、实验内容 1、D/A转换实验 通过0832D/A转换输出一个从0V开始逐渐升至5V,再从5V降至0V的可变电压输出驱动直流电机。 (1)实验接线图 D/A转换实验接线图 (2)实验程序框图 (3)实验程序清单 CODE SEGMENT ;H0832-2.ASM 0-->5v ASSUME CS:CODE DAPORT EQU 0FF80h PA EQU 0FF20H ;字位口 PB EQU 0FF21H ;字形口 PC EQU 0FF22H ;键入口 ORG 1110H START: JMP START0 BUF DB ?,?,?,?,?,?

data1: db 0c0h,0f9h,0a4h,0b0h,99h,92h db 82h,0f8h,80h,90h,88h,83h,0c6h,0a1h db 86h,8eh,0ffh,0ch,89h,0deh,0c7h db 8ch,0f3h,0bfh,8FH START0: call buf1 DACON0: MOV AL,00H DACON1: MOV DX,DAPORT OUT DX,AL push ax call conv MOV CX,0040H DISCON: PUSH CX call disp POP CX LOOP DISCON pop ax INC AL CMP AL,00H JNZ DACON1 MOV AL,0FFH DACON2: MOV DX,DAPORT OUT DX,AL push ax call conv MOV CX,0040H DISCON2: PUSH CX call disp POP CX LOOP DISCON2 pop ax DEC AL CMP AL,0FFH JNZ DACON2 JMP DACON0 CONV: MOV AH,AL AND AL,0FH MOV BX,OFFSET BUF MOV [BX+5],AL MOV AL,AH AND AL,0F0H MOV CL,04H SHR AL,CL MOV [BX+4],AL RET DISP: MOV AL,0FFH ;00H MOV DX,PA OUT DX,AL MOV CL,0DFH ;20H; 5ms显示子程序 MOV BX,OFFSET BUF DIS1: MOV AL,[BX] MOV AH,00H

基于ARM的模数转换器的毕业设计

学生毕业论文(设计)题目基于ARM的模数转换器的设计 姓名 XX 学号 XX 系部 XXXX系 专业 XXXXXXX技术 指导教师 XXXX 职称 XXXX(XXXX) XXXX年 1 月 XX 日 XXXXXXXXXXX教务处制

目录 摘要 (3) 关键词 (3) Abstract (3) Keywords (3) 1 绪论 (4) 1.1 技术背景 (4) 1.2 选题意义 (4) 2 A/D转换器基本原理 (4) 2.1 A/D转换器的基本原理 (4) 2.2 A/D转换器的基本功能 (5) 2.3 A/D转换模块 (5) 2.3.1 A/D转换模块概述 (5) 2.3.2 A/D转换的技术特性 (5) 2.3.3 A/D转换的功能寄存器框图 (5) 2.3.4 A/D转换初始化 (6) 2.3.5 A/D转换的操作 (6) 3 A/D转换器的设计 (7) 3.1 A/D转换器的工作原理 (7) 3.2 A/D转换电路 (8) 3.3 A/D转换器的原理图 (8) 4 A/D转换仿真结果 (9) 4.1 仿真设备 (9) 4.2 仿真设备简介 (9) 4.2.1 ADS1.2仿真软件 (9) 4.2.2 MagicARM2200 实验箱 (9) 4.3 仿真步骤 (12) 4.4 ADS1.2软件仿真 (12) 4.4.1 仿真软件 (12) 4.4.2 仿真硬件 (14) 4.5 仿真结果 (15) 结束语 (16) 致谢 (16) 参考文献 (16) 附录参考源程序 (16)

基于ARM的模数转换器的设计 XXXXXXX技术专业学生 XX 指导老师 XXXX 摘要:随着数字技术,特别是信息技术的飞速发展及普及,在现代控制通信及检测等领域,为了提高系统的性能指标,对信号的处理广泛采用了数字计算机技术。由于系统的实际对象往往都是一些模拟量(如温度、压力、位移、图像等),要使计算机或数字仪表能识别,处理这些信号,必须首先将这些模拟信号转换成数字信号;而经计算机分析,处理后输出的数字量也往往需要将其转换为相应模拟信号才能执行机构所接受。这样就需要一种能在模拟信号与数字信号之间起桥梁作用的电路——模数转换器。A/D转换器已成为信息系统中不可缺少的接口电路。为确保系统处理结果的精度,A/D转换器必须具有足够的转换精度,如果要实现快速变化信号的实时控制与检测,A/D转换器还要求具有较高的转换速度。转换精度与转换速度是衡量A/D的重要指标。随着集成技术的发展,现已研制和生产出许多单片和混合集成型的A/D转换器,它们具有愈来愈先进的技术指标。本文主要介绍了在ARM系统下,通过对A/D转换模块的设计。学习A/D接口原理及硬件电路,了解ARM的A/D 相关寄存器,利用外部模拟信号编程,实现ARM系统的A/D功能,掌握带有A/D的ARM编程实现A/D 功能的主要方法。 关键词:模数转换器;ADC模块;系统设计;仿真 ARM-based analog-to-digital converter design Student majoring in Computer-controlled technology professional XXX Ting Tutor XXX Abstract:The advent of digital technology, especially the rapid development of the information technology and the popularity of the field of modern control communication and detection, in order to improve system performance, signal processing widespread adoption of digital computer technology. Since the actual object of the system are often some analog quantity (such as temperature, pressure, displacement, image, etc.), make the computer or digital instrument can recognize, process these signals, you must first convert these analog signals into digital signals; while via computer analysis, the digital output after the processing is also often need to be converted to the corresponding analog signals in order to perform bodies accepted. Need a between the analog and digital signals from the bridge circuit - ADC .A / D converter the interface circuit has become indispensable in the information system. To ensure the accuracy of the system processing the results of the A / D converter must have a sufficient accuracy of the conversion, A / D converter is also required to have a higher conversion speed; if you want to achieve the real-time control and detection of rapidly changing signal. Conversion accuracy and conversion speed is an important indicator to measure the A / D. With the development of integration technology, has been developed and produced many monolithic and hybrid integration of A / D converter, they have more and more state-of-the-art technical indicators. This paper describes the ARM system, through the design of the A / D converter module. Learning A / D interface principle and the hardware circuit, ARM's A / D register, the use of external analog signals programmed to achieve a the ARM system of A / D function, master ARM programming with an A / D A / D function method. Keywords: analog-to-digital converter; ADC module; system design;simulation

单片机AD模数转换实验报告

一、实验目的和要求 1、掌握单片机与ADC0809的接口设计方法。 2、掌握Proteus软件与Keil软件的使用方法。 二、设计要求。 1、用Proteus软件画出电路原理图,在单片机的外部扩展片外三总线,并通过片外三总线与0809接口。 2、在0809的某一模拟量输入通道上接外部模拟量。 3、在单片机的外部扩展数码管显示器。 4、分别采用延时和查询的方法编写A/D转换程序。 5、启动A/D转换,将输入模拟量的转换结果在显示器上显示。 三、电路原理图。 图1、电路仿真图

四、实验程序流程框图和程序清单。 1、 查询法: ORG 0000H START: LJMP MAIN ORG 0100H MAIN: MOV SP, #2FH NT: MOV DPTR, #0FF78H MOVX @DPTR, A LOOP: JB P3.3, LOOP MOVX A, @DPTR MOV B, #51 DIV AB MOV R0, A MOV A, B MOV B, #5 DIV AB MOV R1, A MOV R2, B LCALL DIR SJMP NT DIR: MOV R7, #0 SJMP LOOP1 BH: MOV A, R1 MOV R2, A LOOP1: MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R2 MOVC A, @A+DPTR MOV P1, A LCALL DELAY INC R7 CJNE R7, #2, BH MOV DPTR, #WK MOV A, R7 MOVC A, @A+DPTR MOV P2, A MOV DPTR, #DK MOV A, R0 MOVC A, @A+DPTR ANL A, #7FH MOV P1, A LCALL DELAY RET DELAY: MOV R5, #01H DL1: MOV R4, #8EH DL0: MOV R3, #02H DJNZ R3, $ DJNZ R4, DL0 DJNZ R5, DL1 RET WK: DB 10H DB 20H DB 40H DK: DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H display 送百分位字符代码送位选信号延时1ms 送十分位字符代码送位选信号延时1ms 送个位及小数点字符代码 送位选信号延时1ms 熄灭第四位数码管 延时1ms 返回

实验六ADC模数转换实验

实验六、ADC0809模数转换实验 一、实验目的 1、掌握ADC0809模数转换芯片与单片机的连接方法及ADC0809的典型应用 2、掌握用查询的方法、中断方法完成模数转换程序的编写方法 二、实验说明 本实验使用ADC0809模数转换器,ADC0809是8通道8位CMOS逐次逼近式AD转换芯片,片内有模拟量通道选择开关及相应的通道锁存、译码电路、AD转换后的数据由三态锁存器输出,由于片内没有时钟需外接时钟信号,下图为芯片的引脚图 各引脚功能如下: (1)IN0-IN7:八路模拟信号输入端 (2)ADDA、ADDB、ADDC:三位地址译码输入端,八路模拟信号选择由这三个端口控制 (3)CLOCK:外部时钟输入端 (4)D0-D7:数字量输出端 (5)OE:AD转换结果输出允许控制端,当OE为高电平时,允许AD转换结果从D0~D7端输出。 (6)ALE:地址锁存允许信号输入端。八路模拟通道地址由A、B、C输入,在ALE 信号有效时将八路地址锁存。 (7)START:启动AD转换信号输入端,当START端输入一个正脉冲时,将进行AD 转换 (8)EOC:AD转换结束信号输出端,当AD转换结束以后,EOC输出高电平。 (9)VREF(+)、VREF(-):正负基准电压输入端,基准正电压为+5V。 (10)VCC、GND:芯片的电源端和接地端。 三、实验步骤 1、单片机最小应用系统1的P0口接AD转换的D0~D7,单片机最小应用系统1的Q0~Q7接AD转换的A0~A7,单片机最小应用系统1的WR、RD、P2.0、ALE、INT1分别连接AD转换的WR、RD、P2.0、CLOCK、INT1,AD转换的IN接+5V,单片机最小应用系统的P1口接LED灯。 2、用串行数据通信线连接计算机与仿真器,把仿真器插到模块的锁紧插座中,请注意仿真器的方向:缺口朝上。

AD转换实验报告

A/D转换实验报告

摘要 本设计是利用AT89C51、ADC0809、CD4027芯片为核心,加以其他辅助电路实现对信号的A/D转换,其中以单片机AT89C51为核心控制A/D转换器。先是对信号进行采集,然后用ADC0809对信号实现从模拟量到数字量的转换。改变采样数据,调整电路,使其达到精确转换。

目录 1.方案设计与论证 (1) 1.1理论分析 (1) 1.2输出、输入方案选择 (1) 1.3显示方案 (2) 1.4时钟脉冲选择 (2) 2.硬件设计 (2) 2.1A/D转换器模块 (2) 2.2单片机模块 (3) 2.3JK触发器模块 (4) 3软件设计 (4) 4.仿真验证与调试 (5) 4.1测试方法 (5) 4.2性能测试仪器 (7) 4.4误差分析 (7) 5.设计总结及体会 (5) 附录(一)实物图 (6) 附录(二)软件程序 (6)

1.方案设计与论证 1.1理论分析 8位A/D转换由芯片内部的控制逻辑电路、时序产生器、移位寄存器、D/A转换器及电压比较器组成,它具有将模拟量转换成数字量的特性,其原理图如下: AD转换原理图(1) 1.2输出、输入方案选择 A/D转换器有多路选择器,可选择八路模拟信号IN0~IN7中的一路进入A/D转换。现在选择IN0通道作为输入,则对应的地址码位ADD C=0、ADD B=0、ADD A=0。当转换完成后,OE=1,打开三态输出锁存缓冲器,将转换数据从D7~D0口输出到单片机的P0端口。 IN口输入D端口输出 A/D转换器 图(2)

1.3显示方案 单片机控制数码管显示有两种动态和静态两种方法,由于静态控制数码管每次只能显示一位,造成资源浪费,所以选择动态扫描,并增加变换频率。 1.4时钟脉冲选择 方案一:可以直接用矩形波来控制 方案二:ALE通过JK触发器完成二分频,然后 Q端接CLK。因为晶振的频率是12MHz,ALE的频率为12NHz×1/6=2MHz,经过JK 触发器二分频后就是1MHz. 2.硬件设计 2.1 A/D转换器模块 A/D转换电路图(3) 模拟量从IN0端口输入,经电压比较器后输入到控制电路,转换后从D0~D7口输出,地址码位ADD C=0、ADD B=0、ADD A=0。OE 端输出允许控制信号,EOC转换结束控制信号,EOC=0,转换结束后EOC=1。START转换启动信号,上升沿将片内寄存器清零,下降

相关文档
最新文档