基于单片机的数字电压表设计的开题报告

基于单片机的数字电压表设计的开题报告
基于单片机的数字电压表设计的开题报告

开题报告

基于单片机的数字电压表的设计

研究现状

电压表指固定安装在电力、电信、电子设备面板上使用的仪表,用来测量交、直流电路中的电压。传统的指针式电压表功能单一、精准度低,不能满足数字化时代的需求,并且传统的电压表在测量电压时需要手动切换量程,不仅不方便,而且要求不超过该量程。目前,由各种单片A/D转换器构成的数字电压表,已经被广泛用于电子及电工测量领域,并且由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量技术提高到崭新水平。

选题意义

数字电压表是诸多数字化仪表的核心与基础,电压表的数字化是将连续的模拟信量如直流电压转换成不连续的离散的数字形式并加以显示,具有清晰直观,读数准确、扩展功能强等特点,这有别与传统的指针加刻度盘进行读数的方法,避免了读数的视觉差和视觉疲劳。随着微机测量与控制技术的发展,以单片机为核心的电压表已占有很大的优势,设计主要是研制二路输入直流数字电压表,以单片机AT89C51为核心部件,具有实时显示测量值的功能,单片机体积小、重量轻、价格便宜,电路外围器件少,大大降低了成本。

研究方法

硬件设计方面采用AT89C51单片机,A/D转换器采用ADC0809对输入模拟信号进行转换,最后在LED屏显示出来。

软件部分主要有:

主程序:在A/D模块启动子程序中,主要根据A/D转换模块的时序图设置单片机的各引脚电平来启动ADC0809。判断部分主要是通过A/D转换模拟采集到的电压值与档位值进行比较来选择数字电压表的档位,再通过档位处理子程序对A/D转换模块换后的电压值进行处理,然后在数码显示器上显示出来。

LED送显子程序:LED送显子程序主要完成4个LED数码显示器的动态显示功能,显示字型通过查表方式实现。在显示过程中调用了延时子程序DELAY,使数码显示器能够稳定显示。

自动切换测量程序:档位自动切换子程序的软件设计主要对A/D转换模块转换得到数据和该档位的数据进行比较进行档位的选择,再通过计算将十六进制数转换为十进制数,存储在50H~53H四个单元中,然后再通过调用送显子程序将其显示在数码显示器上显示出来。

研究内容

1)可以测量的电压范围有0~0.5V和0.5V~10V两档;

2)可以自动的连续测量电压;

3)测量的误差在0.1V,结合硬件电路图及相关电路参数计算进行误差分析;

4)以数码显示测量的电压值;

5)数字电压表的软件程序编写。

主要参考文献

【1】刘振中.数字电表发展概况和原理;电压-频率(V-F)变换式积分型数字电压表【J】.通讯工程.1998.(2)

【2】黄志伟.全国大学生电子设计竞赛训练教程[M] .北京:电子工业出版社,2005:142-162.

【3】高峰.单片微型计算机与该接口技术[M] .北京:科学出版社,2003.

【4】冯古岭.数字电压表及数字多用表检测技术[M] .北京:中国计量出版社,2003. 【5】Litani-Barzilai I,Bulatov V,Schechter I.Detector Based on time –Resolved Ion-Induced V oltage in Laser Multiphot on Ionization and Laser-induced Fluorescence[J].Anal Chim Acta,2004(501):151-156.

【6】李群芳.单片微型计算机与接口技术[M] .电子工业出版社.

【7】阎石.数字电子技术基础[M] .高等教育出版社.

【8】蔡明生.电子设计M] .北京高等教育出版社,2004.1.

【9】徐爱钧.智能化测量控制仪表原理与设计[M] .2版.北京:北京航空航天大学出版社,2004.

【10】吴金龙,沈庆阳,郭庭吉.单片机实践与应用[M] .北京:清华大学出版社,2002.9

基于单片机的数字电压表设计报告

单片机原理及系统课程设计 专业:电气工程及其自动化 班级: 姓名: 学号: 指导教师: 兰州交通大学自动化与电气工程学院 2010 年 3 月 7 日

基于单片机的数字电压表设计 摘要

图3.2系统原理图4软件设计

5.系统调试及仿真结果 6.总结 两周的课程设计结束了,在这过程中,我学到了很多东西。首先,我学会了单片机设计的基本过程有哪些,每一过程有哪些基本的步骤,怎样通过查资料去完成这每一步。其次我巩固了上学期所学的一些单片机知识,从而加深了对ADC0809芯片的功能的了解。在编程过程中,遇到了许多困难,通过与同学之间的交流和咨询,最后解决了这些困难。所谓实践出真知,学到的东西只有运用到实践当中,才能真正体会到知识的力量。最后,通过这次课程设计,让我明白了想法和实践还是有差距的,当你真正去做一件事的时候,你会发现你的想法可能不适用,随时都需要调整,另外扎实的理论知识也是完成设计任何设计必不可少的要素,一切想法离开了理论知识都是空想。 参考文献 [1]彭为,黄科,雷道仲.单片机典型系统设计实例精讲[M].电子工业出版社.2009:22-54. [2] 谭浩强.C程序设计(第三版)[M].清华大学出版社.2009:32-46. [3] 王思明,张金敏,张鑫等.单片机原理及应用系统设计(第一版)[M].科学出版社.2012:70-292.

附录A源程序代码#include #include #define uchar unsigned char sbit p21=P2^1; sbit p22=P2^2; sbit p23=P2^3; sbit EOC=P3^1; sbit OE=P3^0; sbit ST=P3^2; sbit p34=P3^4; sbit p35=P3^5; sbit p36=P3^6;

多功能数字电压表课程设计

1.设计主要内容及要求; 设计一个多功能数字电压表。 要求:1)硬件电路设计,包括原理图和PCB板图。 2)数字电压表软件设计。 3)要求能够测量并显示直流电压、交流电压,测量范围0.002V---2V。 2.对设计论文撰写内容、格式、字数的要求; (1).课程设计论文是体现和总结课程设计成果的载体,一般不应少于3000字。 (2).学生应撰写的内容为:中文摘要和关键词、目录、正文、参考文献等。课程设计论文的结构及各部分内容要求可参照《沈阳工程学院毕业设计(论文)撰写规范》执行。应做到文理通顺,内容正确完整,书写工整,装订整齐。 (3).论文要求打印,打印时按《沈阳工程学院毕业设计(论文)撰写规范》的要求进行打印。 (4). 课程设计论文装订顺序为:封面、任务书、成绩评审意见表、中文摘要和关键词、目录、正文、参考文献。 3.时间进度安排;

中文摘要 随着微型计算机及微电子技术在测试领域中的广泛应用,仪器仪表在测量原理、准确度、灵敏度、可靠性、多种功能及自动化水平等方面都发生了巨大的变化,逐步形成了完全突破传统概念的新一代仪器——智能仪器。智能化是现代仪器仪表的发展趋势,许多嵌入式系统、电子技术和现场总线领域的新技术被应用于智能仪器仪表的设计,尤其是嵌入式系统的许多新的理念极大地促进了智能仪器仪表技术的发展。 今年来,随着大规模集成电路的发展,有单片A/D转换器构成的数字电压表获得了迅速普及和广泛应用,它是目前在电子测量及维修工作中最常用、最得力的一种工具类数字仪表。数字电压表具有很高的性价比,其主要优点是准确度高、分辨力强测试功能完善、测量速率快、显示直观。 测试仪器的智能化已是现代仪器仪表发展的主流方向。因此学习智能仪器的工作原理、掌握新技术和设计方法无疑是十分重要的。 关键词智能,数字,电压表,仪器仪表

简易数字电压表的设计

一、设计题目:简易数字电压表的设计 二、设计目的 自动化专业的专业实践课程。本课程的任务是使学生通过“简易数字电压表的设计”的设计过程,综合所学课程,掌握目前自动化仪表的一般设计要求,工程设计方法,开发及设计工具的使用方法,通过这一设计实践过程,锻炼学生的动手能力和分析,解决问题的能力;积累经验,培养按部就班,一丝不苟的工作个对所学知识的综合应用能力。 三、设计任务及要求 设计电压表并实现简单测量。具有以下基本功能: ⑴可以测量0~5V的8路输入电压值; ⑵可在四位LED数码管上轮流显示或单路选择显示; ⑶测量最小分辨率为0.019V; ⑷.测量误差约为±0.02V; ⑸带有一定的扩展功能; 目录 第一章摘要 (4) 第二章智能仪表目前的发展状况 (4) 第三章设计目的 (6) 第四章设计要求 (6) 第五章设计方案与比较论证 (6) 5.1 单片机电路设计 (6) 5.2 电源方案 (8) 5.3 显示方案 (9) 5.4 A/D采样方案 (10) 5.5串口通讯方案 (12) 5.7 高压,短路报警 (14) 5.8 键盘 (14) 第六章方案设计 (15) 6.1 硬件设计 (15)

6.2 软件设计 (16) 第七章性能测试 (18) 电压测试 (18) 第八章结果分析 (19) 第九章设计体会 (19) 参考文献 (20) 附录 (20) 元器件清单 (20) 程序清单 (20) 第一章摘要 本报告介绍了基于AT89S52单片机为核心的、以AD0809数模转换芯片采样、以1602液晶屏显示的具有电压测量功能的具有一定精度的数字电压表。在实现基础功能要求之上扩展了串口通讯、时钟功能、高压报警、短路测试、电阻测量、交流电压峰峰值和周期测试等功能,使系统达到了良好的设计效果和要求。 关键词:AT89S52单片机模数转换液晶显示扩展功能 ABSTRACT:The report describes the AT89S52 based on the microcontroller as the core, AD0809 digital-to-analog converter chip sampling, to 1602 LCD display with voltage measurement function with a certain precision of digital voltage meter. In achieving functional requirements based upon the expansion of serial communications, high-pressure alarm, short circuit, electrical resistivity measurement, AC voltage and the peak of cycle testing and other functions, allowing the system to achieve good results and the design requirements. Keywords : AT89S52 SCM analog-to-digital conversion functions LCD expansion 第二章智能仪表目前发展状况 在自动化控制系统中,仪器仪表作为其构成元素,它的技术进展是跟随控制系统技术的发展的。常规的自动化仪器仪表适应常规控制系统的要求,它们以经典控制理论和现代控制理论为基础,以控制对象的数学模型为依据。当今,控制理论已发展到智能控制的新阶段,自动化仪器仪表的智能化就成为必然和必须。本文将就自动化仪器仪表的智能化的状况与进展,以及当今对智能仪器仪表研究、开发热点做概要的分析与表述。作者建议人们关注自动化仪器仪表智能化技术的进展,关注仪器仪表装置

多功能数字钟实验报告

《多功能数字钟电路的设计、制作》 课程设计报告 班级:(兴) 2008级自动化 姓名:胡荣 学号:2008960623 指导教师:刘勇 2010年11月13日

目录 一、设计目的.................................1 二、设计内容及要求...........................1 三、总设计原理...............................1 四、主要元件及设备...........................2 五、单元电路的设计...........................5 1、数字电子计时器组成原理.................5 2、用74LS160实现12进制计数器..............6 3、校时电路...............................7 4、时基电路设计...........................8 六、设计总电路图.............................8 七、设计结果及其分析.........................8 八、设计过程中的问题及解决方案...............9 九、心得体会.................................9 十、附录.....................................10

多功能数字钟电路设计 一、设计目的 通过课程设计要实现以下两个目标:一、初步掌握电子线路的设计、组装及调试方法。即根据设计要求,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能要求;二、课程设计为后续的毕业设计打好基础。毕业设计是系统的工程设计实践,而课程设计的着眼点是让我们开始从理论学习的轨道上逐渐引向实际方面,运用已学过的分析和设计电路的理论知识,逐步掌握工程设计的步骤和方法,同时,课程设计报告的书写,为今后从事技术工作撰写科技报告和技术资料打下基础。 二、设计内容及要求 1、功能要求: ①基本功能: 以数字形式显示时、分、秒的时间,小时计数器的计时要求为“12翻1”,并要求能手动快校时、快校分或慢校时、慢校分。 ②扩展功能: 定时控制,其时间自定;仿广播电台正点报时—自动报正点时数。 2、设计步骤与要求: ①拟定数字钟电路的组成框图,要求先实现电路的基本功能,后扩展功能,使用的器件少,成本低; ②设计各单元电路,并用Multisim软件仿真; ③在通用电路板上安装电路,只要求显示时分; ④测试数字钟系统的逻辑功能; ⑤写出设计报告。设计报告要求:写出详细地设计过程(含数字钟系统的整机逻辑电路图)、调试步骤、测试结果及心得体会。 三、总设计原理 数字电子钟原理是一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。 四、主要元件及设备 1、给定的主要器件: 74LS00(4片),74LS160(4片)或74LS161(4片),74LS04(2片),74LS20(2片),74LS48(4片),数码管BS202(4只),555(1片),开关(1个),电阻47k(2个)电容10uF(1个)10nF(1个) 各元件引脚图如下图:

基于单片机的数字电压表--开题报告

毕业设计(论文)开题报告 ——基于单片机的数字电压表设计与实现 引言 在传统的电工和电子测量中广泛使用的模拟测量仪表,虽然具有可直观看出表针偏转了多少格或满刻度的百分之几等优点,但需要对读数加以换算或说明, 尤其是不可避免地要带来人为的“视差”,不同的观察者会得到不同的结果。数字仪表则不同,它可以将测量结果直接用数字显示出来,读数准确,设计简单,可以随身携带,使用上更加方便快捷。 一、数字电压表的历史发展与选题意义 数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。传统的指针式电压表功能单一、精度低,不能满足数字化时代的需求,采用单片机的数字电压表,由精度高、抗干扰能力强,可扩展性强、集成方便,还可与PC进行实时通信。目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力。与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。 1.1 数字电压表的历史发展 数字电压表自1952年问世以来,已有50多年的发展史,大致经历了五代产品。第一代产品是20世纪50年代问世的电子管数字电压表,第二代产品属于20世纪60年代出现的晶体管数字电压表,第三代产品为20世纪70年代研制的中、小规模集成电路的DVM。近年来,国内外相继推出由大规模集成电路(LSI)或超大规模集成电路(VLSI)构成的数字电压表、智能数字电压表,分别属于第四代、第五代产品。它们不仅开创了电子测量的先河,更以其高准确度、高可靠性、高分辨力、高性价比等优良特性而受到人们的青睐。 1.2选题意义 相对于传统的指针表而言,数字电压表有以下特点: 1.读数直观准确; 2.显示位数; 3.准确度高,分辨率高;

多功能数字钟开题报告

毕业设计(论文)开题报告

1 选题的背景和意义 1.1 选题的背景 21世纪,电子技术获得了飞速的发展,在其推动下,现代电子产品几乎渗透了社会的各个领域,有力地推动了社会生产力的发展和社会信息化程度的提高,同时也使现代电子产品性能进一步提高,产品更新换代的节奏也越来越快。 时间对人们来说总是那么宝贵,工作的忙碌性和繁杂性容易使人忘记当前的时间。忘记了要做的事情,当事情不是很重要的时候,这种遗忘无伤大雅。但是,一旦重要事情,一时的耽误可能酿成大祸。手表当然是一个好的选择,但是,什么时候到达所需要的时间却难以判断。所以,要制作一个定时系统。随时提醒这些容易忘记时间的人。 钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、定时启闭电路、定时开关烘箱、通断动力设备,甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常现实的意义。随着人类科技文明的发展,人们对于时钟的要求在不断地提高。时钟已不仅仅被看成一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。高精度、多功能、小体积、低功耗,是现代时钟发展的趋势。在这种趋势下,时钟的数字化、多功能化已经成为现代时钟生产研究的主导设计方向。 1.2 国内外研究现状及发展趋势 单片机自20世纪70年代问世以来,以其极高的性能价格比,受到人们的重视和关注,应用很广、发展很快。单片机具有体积小、重量轻、抗干扰能力强、环境要求不高、价格低廉、可靠性高、灵活性好、开发较为容易。 目前单片机渗透到我们生活的各个领域,几乎很难找到哪个领域没有单片机的踪迹。电子钟是一种利用数字电路来显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到广泛应用。随着人们生活环境的不断改善和美化,在许多场合可以看到数字电子钟。在城市的主要营业场所、车站、码头等公共场所使用lcd数字电子钟已经成为一种时尚。但目前市场上各式各样的lcd数字电子钟大多数用全硬件电路实现,电路结构复杂,功率损耗大等缺点。因此有必要对数字电子钟进行改进。

数字电压表的设计毕业设计论文

田唯迪:数字电压表的设计 华东交通大学理工学院 Institute of Technology. East China Jiao tong University 毕业设计 Graduation Design (2011 —2015 年) 题目数字电压表的设计 分院:电气与信息工程分院 专业:工程及其自动化 班级:电力2011-1 学号: 学生姓名:田唯迪 指导教师: 起讫日期:2015-01-01—2015-05-10

华东交通大学理工学院毕业设计 摘要 在电子应用领域,工业自动化仪表已经有了非常广泛的应用。本文设计的数字电压表以AT89C51单片机为主要控制器件,利用ADC0808把模拟信号转换为数字信号并加以显示的电路。它的设计主要包括硬件电路和系统程序两部分设计。硬件电路主要是单片机最小设计模块、A/D转换模块和显示模块的设计,系统程序设计则是通过AT89C51单片机先将系统初始化,通过ADC0808转换芯片把模拟量转换成数字量,最后通过数码管显示数据。设计的数字电压表的测量范围为200mv—10v,对直流电压进行测量。该电路功能强大,有报警系统,可控制测量范围,数码管显示精度高,可扩展性强等优点。 数字电压表的应用在很多领域,有非常好的应用前景。对数字电压表进行研究很有必要性。这对我们研究单片机技术是很有帮助的。 关键词:AT89C51;ADC0808;电压测量;A/D转换 1

田唯迪:数字电压表的设计 Abstract In electronic applications, industrial automation instruments have a very wide range of applications. This design of a digital voltmeter to AT89C51 microcontroller as the main control device, use it ADC0808 analog signals into digital signals and display them circuit. Its design includes hardware and system design program in two parts. The hardware circuit design module is the smallest single-chip design A / D converter module and display module, system programming is through the first AT89C51 SCM system initialization, by ADC0808 converter chip to convert analog to digital, and finally through a digital display data. Measuring range designed digital voltmeter is 200mv-10v, DC voltage measurement. The circuit is powerful, alarm system, control measuring range, digital display and high precision, scalability and other advantages.残骛楼諍锩瀨濟溆塹籟。 Application of digital voltmeter in many areas, there is a very good prospect. Conduct research on the digital voltmeter very necessity. This single-chip technology for our study is helpful.酽锕极額閉镇桧猪訣锥。 Key words: T89C52; ADC0808; V oltage measurement;A/D converter 2

基于DCT图像数字水印技术研究的开题报告

毕业设计开题报告 基于DCT的图像数字水印技术的研究

基于DCT的图像数字水印技术研究 国内外研究现状: 20世纪80年代,索尼和菲利浦公司首次提出了数字媒体版权保护的方案SCMS(Serial copy management system),数字水印技术也是在继数字隐藏技术后提出的一种数字媒体版权保护方案,发展到现在不仅仅局限于版权保护,也延伸到商务交易中的票据防伪、声像数据的隐藏标识和篡改提示、隐蔽通信及其对抗等领域。随着电子政务的广泛应用,其安全性问题也日益突出,电子政务所涉及的相当多的信息都带有机密性,除黑客攻击.病毒感染等来自网络的安全威胁外,也易受到来自系统应用的假冒用户登录、非法篡改等数据安全的威胁。我国现有的电子政务网络基础设施和系统安全解决方案大多是通过防火墙、入侵检测、漏洞扫描、网络隔离等技术和设备来保障系统的安全,这在一定程度上可以保证电子政务信息系统的安全,但仍存在着安全漏洞,我们在电子政务的建设中,除了必要的网络安全技术外,还必须重视对数字信息安全认证的问题。 数字水印技术为上述问题提供了一个有效的解决方案,是目前多媒体信息安全研究领域的一个热点。该技术采用信息处理技术把版权信息、认证信息等秘密信息,即水印,嵌入到原始数据中去,但不影响原内容的价值和使用,水印信息可以是产品的序列号、版权所有者的标志等认证信息。通过特定的算法恢复和检测被嵌水印后,可有效地分析信息失真的情况,判断信息是否被篡改,为版权所有者提供信息被盗版的有利证据。因此,一个实用的数字水印技术必须具有较强的鲁棒性、安全性和不可见性。 所谓数字水印技术,就是将代表数字媒体著作权人身份的特定信息、用户指定的标志或序列码等,按照某种方式嵌入被保护的信息中,在产生版权纠纷时,通过相应的算法提取出该数字水印,从而验证版权的归属,确保媒体著作权人的合法利益,避免非法盗版的威胁。被保护的信息是任何一种数字媒体,如软件、图像、音频、视频或一般性的电子文档等。数字水印是嵌在数字产品中的数字信号,水印的存在要以不破坏原数据的欣赏价值、使用价值为原则。

多功能数字钟设计报告

摘要 该实验是利用QuartusII软件设计一个数字钟,进行试验设计和仿真调试,实现了计时,校时,校分,清零,保持和整点报时等多种基本功能,并下载到SmartSOPC实验系统中进行调试和验证。此外还添加了显示星期,闹钟设定,秒表和彩铃等附加功能,使得设计的数字钟的功能更加完善。 关键字:Quartus 数字钟多功能仿真 Abstract This experiment is to design a digital clock which is based on Quartus software and in which many basic functions like time-counting,hour-correcting,minute-correcting,reset,time-hol ding and belling on the hour. And then validated the design on the experimental board.In addition,additional functions like displaying and reseting the week,setting alarm ,stopwatch, and belling with music make this digital clock a perfect one. Key words: Quartus digital-clock multi-function simulate

目录 一.设计要求说明 (4) 二.工作原理 (4) 三.各模块说明 (5) 1)分频模块 (5) 2)计时模块 (8) 3)动态显示模块 (10) 4)校分与校时模块 (11) 5)清零模块 (12) 6)保持模块 (12) 7)报时模块 (12) 四.扩展模块 (13) 1)星期模块 (13) 五.调试、编程下载 (14) 六.实验中出现问题及解决办法 (14) 七.实验收获与感受 (15) 八.参考文献 (16)

数字电压表毕业设计

毕业论文 数字电压表毕业设计智能数字电压表设计

智能数字电压表设计 摘要 随着微电子技术和计算机技术的迅速发展,特别是单片机的出现和发展,使传统的电子测量仪器在原理、功能、精度及自动化水平等方面发生了巨大的变化,形成一种新一代的测量仪器——智能仪器。目前大多数的传统仪器都有了相应的智能替代产品,还出现了不少全新的仪器类型和测试系统。 论文主要介绍了利用A /D转换器MC14433、AT89S51单片机和LED数码管构成具有三位半显示、4档量程的智能数字电压表。电压表同时还具有标定(AX+B),自动零点调整和上下限报警(LMT)等功能。 本次设计主要讲述了电压表的构成和怎样实现各个硬件部分的通信,以及主体部分的程序实现。利用所学的单片机知识来编写控制程序,利用电子电路的知识来设计硬件之间的连接。智能数字电压表具有精度高,抗干扰能力强,还具有很强的数据处理能力。 关键词:数字电压表AT89S51 MC14433

Abstract With the technological development of computer and microelectronics, and with the appearance of siglechip and fast development, It makes a lot of change in tradition electronic apparatus of measure.A new kind of electronic apparatus of measure is appear.Now,most of traditional testing measure have substitute that is aptitude instrument, also come from more new apparatus types and test systems. This paper mainly introduce the d igital voltage meter consist of A/D swith utensil the type is MC14433, the singlechip type is AT89S51 ,and display of LED which is have three bit display.The digital voltage meter’s function is have 4 bit display ,demarcate (Ax+B), self-motion zero adjust,and the limit of fluctuate. This paper introduce how to make of the digital voltage meter and how to come ture the communicate between of hardware with use the language of singlechip . The advantage of d igital voltage meter is high precision,the ability of anti-jamming is very strong,and the ability of data processing is very strong too. Keywords: digital voltage meter, AT89S51, MC14433

多功能数字钟的课程设计报告

EDA技术课程设计 多功能数字钟 学院:城市学院 专业、班级: 姓名: 指导老师: 2015年12月

目录 1、设计任务与要求 (2) 2、总体框图 (2) 3、选择器件 (2) 4、功能模块 (3) (1)时钟记数模块 (3) (2)整点报时驱动信号产生模块 (6) (3)八段共阴扫描数码管的片选驱动信号输出模块 (7) (4)驱动八段字形译码输出模块 (8) (5)高3位数和低4位数并置输出模块 (9) 5、总体设计电路图 (10) (1)仿真图 (10) (2)电路图 (10) 6、设计心得体会 (11)

一、设计任务与要求 1、具有时、分、秒记数显示功能,以24小时循环计时。 2、要求数字钟具有清零、调节小时、分钟功能。 3、具有整点报时,整点报时的同时输出喇叭有音乐响起。 二、总体框图 多功能数字钟总体框图如下图所示。它由时钟记数模块(包括hour、minute、second 三个小模块)、驱动8位八段共阴扫描数码管的片选驱动信号输出模块(seltime)、驱动八段字形译码输出模块(deled)、整点报时驱动信号产生模块(alart)。 系统总体框图 三、选择器件 网络线若干、共阴八段数码管4个、蜂鸣器、hour(24进制记数器)、minute(60进制记数器)、second(60进制记数器)、alert(整点报时驱动信号产生模块)、 seltime(驱动4位八段共阴扫描数码管的片选 驱动信号输出模块)、deled(驱动八段字形译 码输出模块)。

四、功能模块 多功能数字钟中的时钟记数模块、驱动8位八段共阴扫描数码管的片选驱动信号输出模块、驱动八段字形译码输出模块、整点报时驱动信号产生模块。 (1) 时钟记数模块: <1.1>该模块的功能是:在时钟信号(CLK)的作用下可以生成波形;在清零信号(RESET)作用下,即可清零。 VHDL程序如下: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity hour24 is port( clk: in std_logic; reset:instd_logic; qh:BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0); ql:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); end hour24; architecture behav of hour24 is begin process(reset,clk) begin if reset='1' then qh<="000"; ql<="0000"; elsif(clk'event and clk='1') then if (qh<2) then if (ql=9) then ql<="0000"; qh<=qh + 1; else ql<=ql+1; end if; else if (ql=3) then ql<="0000"; qh<="000"; else ql<=ql+1; end if; end if; end if; end process; end behav; 仿真波形如下:

数字电压表单片机毕业设计

数字电压表的设计与仿真 摘要:本文介绍的是数字电压表的发展背景和利用单片机,A/D转换芯片结合的方法设计一个交直流数字电压表。它的具体功能是:最高量程为500V,分三个档位量程,即5V,50V,500V,可以通过调档开关来实现各个档位。当测得电压的数值小于1V时,系统会自动的将电压数值转换为以mV为电压单位的电压值。并且通过按键的方法能够测得后五秒的平均电压值。同时它也可以用于交流电压的测量,胜任一般的电压测量工作。 关键字:数字电压表;单片机;A/D转换

Digital voltmeter design and simulation Abstract:This article describes the background of the development of the digital voltmeter and designed microcontroller, a / d conversion chips combined with a DC digital voltmeter. Its specific functions are: the maximum range for 200v, three-stall range, that is, 2v, 20v, 200v, can downshift switch to achieve the various stalls. When the measured voltage is less than 1v, the system automatically the voltage value converted to a voltage value mv voltage units. Five seconds and the key method to measure the average voltage value. Key words:Digital voltmeter ;Single-chip computer ;A/D converter

单片机数字电压表开题报告书

XX航空航天大学金城学院毕业设计(论文)开题报告 题目基于单片机的数字电压表的设计系部自动化系 专业自动化 学生XX 高英鑫学号2011032307 指导教师侯瑞职称讲师 毕设地点XX航空航天大学金城学院

2014年11 月22 日

个取出Ub进行比较后,将数码寄存器输出的二进制码按序排列就会等于被测电压值。 图1 逐次逼近比较型数字电压表的原理框图 (2)电压-时间变换型。所谓电压-时间变换型是指测量时将被测电压值转换为时间间隔△t,电压越大,△t越大,然后按△t大小控制定时脉冲进行计数,其计数值即为电压值。电压-时间变换型又称为V-T型或斜坡电压式,其原理框图如图2所示。控制器ST是电压表的指挥部,它每隔一定时间(例如每隔2s)就发出一个启动脉冲,一方面利用启动脉冲打开控制门T,让等间隔的标准时间脉冲序列能通过控制门进入十进制计数器;另一方面启动脉冲触发斜坡电压发生器,使它开始产生一个直线上升的斜坡电压,在斜坡电压上升的过程中,斜坡电压不断与被测电压在电压比较器中进行比较,当斜坡电压等于被测电压Ux时,电压比较器即发出关门信号,将T门关闭。这时十进制计数器所保留的数就是T门从开启到关闭的时间间隔中,通过T门的标准间脉冲的个数。被测电压Ux越大,斜坡电压从零上升到被测电压Ux,值所需要的时间、T门开启时间也越长,计数器所计数值也越大,利用数码显示器将计数器所计数值显

示出来,所计的数就是通过T门的脉冲个数。适当选择标准脉冲发生器的重复频率和斜坡斜率,就能使通过T门的脉冲个数与被测电压值相等,显示器上便可以直接显示出被测电压值。 图2 V-T型数字电压表原理框图 (3) 电压-频率变换型。所谓电压-频率变换型是指测量时将被测电压值转换为频率值,然后用频率表显示出频率值,即能反映电压值的大小。这种表又称为V-f型,图3为V-f型数字电压表原理框图。 图中有两个振荡器,HO为固定频率振荡器,AO为可控频率振荡器。利用被测电压直接控制AO的输出电压频率,使被测电压越大,频率就越高,经混频器混频之后,输出的频率也越高;当被测电压为零时,让可控频率振荡器AO输出的频率等于HO的频率,经混频器混频之后,输出频率为零。这样就能通过可控频率振荡器,把被测电压值转换为频率值,然后通过计数显示出来。只要适当选择AO和HO的振荡频率,就能够使显示器读数直接等于被测电压值。

多功能数字钟课程设计报告

电子技术课程设计报告书课题名称 姓名 学号 院、系、部 专业 指导教师 2016年6月12日

一、设计任务及要求: 用中小规模集成芯片设计并制作多功能数字钟,具体要求如下:1、准确及时,以数字形式显示时(00~23)、分(00~59)、秒(00~59)的时间。 2、具有校时功能。 指导教师签名: 2016年6月日 二、指导教师评语: 指导教师签名: 2016年6月日 三、成绩 指导教师签名: 2016年6月日

多功能数字钟课程设计报告 1 设计目的 一、设计原理与技术方法: 包括:电路工作原理分析与原理图、元器件选择与参数计算、电路调试方法与结果说明; 软件设计说明书与流程图、软件源程序代码、软件调试方法与运行结果说明。1、电路工作原理分析与原理图 数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。由于标准的1Hz 时间信号必须做到准确稳定,所以通常使用输出频率稳定的石英晶体振荡器电路构成数字钟的振源。又由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路。因此一个具有计时、校时、报时、显示等基本功能的数字钟主要由振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路等七部分组成。石英晶体振荡器产生的信号经过分频器得到秒脉冲后,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器译码,并通过显示器显示时间。由以上分析可得到原理框图如下图 图1实验原理框图 2、元器件选择与参数计算 (1)晶体振荡电路:产生秒脉冲既可以采用555脉冲发生电路也可以采用晶振脉冲发生电路。若由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源,可使555与RC组成多谐振荡器,产生频率f=1kHz的方波信号,再通过分频则可得到秒脉冲信号。晶体振荡器电路则可以给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。 相比二者的稳定性,晶振电路比555电路能够产生更加稳定的脉冲,数字电路中的时钟是由振荡器产生的,振荡器是数字钟的核心。振荡器的稳定度及频率的精度决定了数字钟计时的准确程度,所以最后决定采用晶振脉冲发生电路。石英晶体振荡器的特点是振荡频率准确、电路结构简单、频率易调整,它是电子钟的核心,用它产生标准频率信号,再由分频器分成秒时间脉冲。 所以秒脉冲晶体振荡选用32768Hz的晶振,该元件专为数字钟电路而设计,其频率较低,有利于减少分频器级数。从有关手册中,可查得C1、C2均为20pF。当要求频率准确度和稳定度更高时,还可接入校正电容并采取温度补偿措施。由于CMOS电路的输入阻抗极高,因此反馈电阻R1可选为20MΩ。 (2)分频器电路:分频器电路将32768Hz的高频方波信号经32768(152)次分频后得到1Hz的方波信号供秒计数器进行计数。分频器实际上也就是计数器。该电路可通过CD4060与双D触发器74LS74共同实现。 (3)时间计数器电路:时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为24进制计数器。计数器可以使用十进制的74LS160。 (4)译码驱动电路:译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。译码器可以使用CD4511。

数字电压表毕业设计 [文档在线提供]dsfsadfsdf

毕业设计 摘要: 本文介绍的是数字电压表的发展背景和利用单片机,A/D转换芯片结合的方法设计一个直流数字电压表。它的具体功能是:最高量程为200V,分三个档位量程,即2V,20V,200V,可以通过调档开关来实现各个档位。当测得电压的数值小于1V时,系统会自动的将电压数值转换为以mV为电压单位的电压值。并且通过按键的方法能够测得后五秒的平均电压值。

目录 一.数字电压表简介: (3) 二.数字电压设计两种方案简介: (4) 1.由数字电路及芯片构建。 (4) 2.由单片机系统及A/D转换芯片构建。 (5) 三.单片机简介及本设计单片机的选择 (5) 1.常用单片机的特点比较及本设计单片机的选择 (5) 2.本设计使用的单片机的简介 (6) 四.各种显示器件的介绍和选择 (7) 1.常用显示器件简介 (7) 2.1602液晶的参数资料 (8) 五.模数(A/D)转换芯片的选择 (10) 1.常用的A/D芯片简介 (10) 2.模数(A/D)芯片TLC2543的资料 (11) 六.总体设计 (13) 七.硬件电路系统模块的设计 (14) 八.系统软件的设计 (18) 1.汇编语言和C语言的特点及选择 (18) 2.主程序设计 (18) 九.系统的调试 (26) 1.硬件调试 (26) 2.软件调试 (26) 十.总结 (27) 参考文献 (28)

一.数字电压表简介: 数字电压表出现在50年代初,60年代末发起来的电压测量仪表,简称DVM,它采用的是数字化测量技术,把连续的模拟量,也就是连续的电压值转变为不连续的数字量,加以数字处理然后再通过显示器件显示。这种电子测量的仪表之所以出现,一方面是由于电子计算机的应用逐渐推广到系统的自动控制信实验研究的领域,提出了将各种被观察量或被控制量转换成数码的要求,即为了实时控制及数据处理的需要;另一方面,也是电子计算机的发展,带动了脉冲数字电路技术的进步,为数字化仪表的出现提供了条件。所以,数字化测理仪表的产生与发展与电子计算机的发展是密切相关的;同时,为革新电子测量中的烦锁和陈旧方式也催促了它的飞速发展,如今,它又成为向智能化仪表发展的必要桥梁。 如今,数字电压表已绝大部分已取代了传统的模拟指针式电压表。因为传统的模拟指针式电压表功能单一,精度低,读数的时候也非常不方便,很容易出错。而采用单片机的数字电压表由于测量精度高,速度快,读数时也非常的方便,抗干扰能力强,可扩展性强等优点已被广泛的应用于电子及电工的测量,工业自动化仪表,自动测试系统等智能化测量领域。显示出强大的生命力。 数字电压表最初是伺服步进电子管比较式,其优点是准确度比较高,但是采样速度慢,重量达几十公斤,体积大。继之出现了斜波式电压表,它的速度方面稍有提高,但是准确度低,稳定性差,再后来出现了比较式仪表改进逐次渐近式结构,它不仅保持了比较式准确度高的优点,而且速度也有了很大的提高,但它有一缺点是抗干扰能力差,很容易受到外界各种因素的影响。随后,在斜波式的基础上双引伸出阶梯波式,它的唯一的进步是成本降低了,可是准确宽,速以及抗干扰能力都未能提高。而现在,数字电压表的发展已经是非常的成熟,就原理来讲,它从原来的一,二种已发展到多种,在功能上讲,则从测单一参数发展到能测多种参数;从制作元件来看,发展到了集成电路,准确度已经有了很大的提高,精度高达1NV;读数每秒几万次,而相对以前,它的价格也有了降低了很多。 目前实现电压数字化测量的方法仍然模-数(A/D)转换的方法。而数字电压

单片机数字电压表开题报告

南京航空航天大学金城学院 毕业设计(论文)开题报告 题目基于单片机的数字电压表的设计 系部自动化系 专业自动化 学生姓名高英鑫学号2011032307 指导教师侯瑞职称讲师 毕设地点南京航空航天大学金城学院 2014年11 月22 日

1.结合毕业设计(论文)课题任务情况,根据所查阅的文献资料,撰写1500~2000字左右的文献综述: 文献综述 摘要本文是以基于单片机的数字电压表设计为研究内容。首先对数字电压表作了详 细介绍,接着讲述了数字电压表的类型和作用以及一些数字电压表的制作原理和构造,对比一下各种方法制造的压表。对各种电压表的制作做一个归纳和总结,最后给出自己的方案和准备采用的手段方法。 关键词单片机 A/D转换数据处理 1 简介 数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。传统的指针式电压表功能单一、精度低,不能满足数字化时代的需求,采用单片机的数字电压表,由精度高、抗干扰能力强,可扩展性强、集成方便,还可与PC进行实时通信。目前,由各种单片A/D转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力。与此同时,由DVM 扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。 数字电压表是诸多数字化仪表的核心与基础,电压表的数字化是将连续的模拟量如直流电压转换成不连续的离散的数字形式并加以显示,这有别于传统的以指针加刻度盘进行读数的方法,避免了读数的视差和视觉疲劳。目前数字电压表的内部核心部件是A/D转换器,转换器的精度很大程度上影响着数字电压表的准确度,本文A/D转换器采用ADC0809对输人模拟信号进行转换,控制核心AT89C51再对转换的结果进行运算和处理,最后驱动输出装置显示数字电压信号。 数字电压表(数字面板表)是当前电子、电工、仪器、仪表和测量领域大量使用的一种基本测量工具有关数字电压表的书籍和应用已经非常普及了。数字电压表的主要技术指标:测量范围、输入阻抗、显示位数、测量速度、分辨率。 2 数字电压表的几种类型 DVM的种类有多种,分类方法也很多,有按位数分的,如3/2位、5位、8位;有按测量速度分的,如高速、低速;有按体积、重量分的,如袖珍式、便携式、台式。

相关文档
最新文档