数字集成电路

数字集成电路
数字集成电路

数字集成电路专题研究

摘要:现在的电路可以分为两个方向,一个是数字,还有一个是模拟,在此更加偏重对数字方面的研究!全文一共可以分为两部分,一部分是基本的数字电路,还有一部分为较大型的集成电路。前一部分(基本数字电路)从认识数字电路开始,其间涉及到数字电路的分析方法---函数分析方法;在数字电路中分TTL 和COMS两种电路,在此报告中提到了这两种电路的电平比较关系。因COMS电路功耗低、工作电压范围宽、扇出能力强和售价低等优点,所以着重介绍一下CMOS 电路的常用特性,以及由它构成的一些常见的数字电路!而在后半部方介绍的是集成电路,从集成电路的分类到如何做好集成电路的设计;集成电路的设计分为前端和后端设计前端是指逻辑部分,后端是指物理层的设计.前端是设计内部的逻辑.后端是指假设逻辑设计已经完成,如何做出最后的芯片,涉及到芯片内部如何分区,如何布线,模拟部分,寄生效应等等.而由于专业方向这里又着重去讨论前端设计:系统集成芯片(SoC)的IC设计。同时收集了一些集成电路的设计工具。

关键字:数字电路函数表示 COMS集成电路常

见的数字电路集成电路分类 IC前端设计工具系统集成芯片SOC IC设计软件 VHDL/ Veriolg HDL 正文:

一.数字电路简介:

在电子设备中,通常把电路分为模拟电路和数字电路两类,前者涉及模拟信号,即连续变化的物理量,例如在24小时内某室内温度的变化量;后者涉及数字信号,即断续变化的物理量,开关K 快速通、断时,在电阻R 上就产生一连串的脉冲(电压),这就是数字信号。人们把用来传输、控制或变换数字信号的电子电路称为数字电路。数字电路工作时通常只有两种状态:高电位(又称高电平)或低电位(又称低电平)。通常把高电位用代码“1 ”表示,称为逻辑“1 ”;低电位用代码“0 ”表示,称为逻辑“0 ”(按正逻辑定义的)。注意:有关产品手册中常用“H ”代表“1 ”、“L ”代表“0 ”。实际的数字电路中,到底要求多高或多低的电位才能表示“1 ”或“0 ”,这要由具体的数字电路来定。例如一些TTL 数字电路的输出电压等于或小于0.2V,均可认为是逻辑“0 ”,等于或者大于3V,均可认为是逻辑“1 ”(即电路技术指标)。CMOS数字电路的逻辑“0 ”或“1 ”的电位值是与工作电压有关的。讨论数字电路

问题时,也常用代码“0 ”和“1 ”表示某些器件工作时的两种状态,例如开关断开代表“0 ”状态、接通代表“1 ”状态。 2.三种基本逻辑电路数字电路中的基本电路是与门、或门和非门(反相器)。与门和或门电路的基本形式有两个或两个以上的输入端、一个输出端。因输入和输出可以各自为“0 ”或“1 ”状态,具有判定的功能,所以把它们称为基本逻辑电路。

二.数字电路分析的逻辑函数的表示方法:

在逻辑电路的设计时,常用四种方法表示逻辑电路的函数关系(指输入输出关系),即逻辑图、真值表、函数表达式和卡诺图。实际应用中逻辑图和真值表是最常用的,应必须掌握的;函数表达式和卡诺图主要供设计人员按要求设计数字逻辑电路时使用。

1、逻辑函数

从上面讲过的各种逻辑关系中可以看到,如果以逻辑变量作为输入,以运算结果作为输出,输出与输入之间是一种函数关系。这种函数关系称为逻辑函数,写作

Y=F(A,B,C,…)

任何一件具体的因果关系都可以用一个逻辑函数描

述,由于变量和输出(函数)的取值只有0和1两种状态,所以我们所讨论的都是二值逻辑函数。

2、真值表:

将输入变量所有的取值下对应的输出值找出来,列成表格,即可得到真值表。从真值表写出逻辑函数式的一般方法,这就是

①找出真值表中使逻辑函数Y=1的那些输入变量取值的组合。

②每组输入变量取值的组合对应一个乘积项,其中取值为1的写入原变量,取值为0的写入反变量。

③将这些乘积项相加,即得Y的逻辑表达式。

比如,在举重比赛中,通常设三名裁判:一名为主裁,另两名为副裁。竞赛规则规定运动员每次试举必须获得主裁及至少一名副裁的认可,方算成功。裁判员的态度只能同意和不同意两种;运动员的试举也只有成功与失败两种情况。举重问题可用逻辑代数加以描述:

用A、B、C三个逻辑变量表示主副三裁判:取值1表示同意(成功),取值0表示不同意(失败—)。

举重运动员用L表示,取值1表示成功,0表示失败。显然,L由A、B、C决

1 0 1 1

1 1 0 1

1 1 1 1

该表称为逻辑函数L的真值表。

真值表必须列出逻辑变量所有可能的取值所对应的函数值,不能有遗漏。(二个变量有22=4,三个逻辑变量有23=8,四个变量有24=16种可能的取值……)

从真值表可看出L取值为1只有三项,A、B、C的取值分别为101、110、和111三种情况L才等于1。、、三项与上述三种取值对应。

3、逻辑图

逻辑图是一种描述电路原理得方式,任何一个逻辑函数,无论多么复杂,都可以用相应的逻辑图表示。构成逻辑图的方法是将逻辑函数分解成若干基本逻辑门,根据逻辑函数关系连接而成。

将逻辑表达式中的与项用与门代替,或项用或门代替,即可画出与上述函数形式对应的逻辑图如图

图5

三.数字电路按电路所用器件分类,可以分为:双极型(如DTL、TTL、ECL、IIL、HTL)和单极型(如NMOS、PMOS、COMS)电路,下面总结一下TTL和CMOS

电平关系:

1).TTL电平:

输出高电平〉2.4V 输出低电平〈0.4V

在室温下,一般输出高电平是3.5V 输出低电平是0.2V。

最小输入高电平和低电平

输入高电平〉=2.0V 输入低电平《=0.8V

它的噪声容限是0.4V.

2).CMOS电平:

1逻辑电平电压接近于电源电压,0逻辑电平接近于0V。而且具有很宽

的噪声容限。

3).电平转换电路:

因为TTL和COMS的高低电平的值不一样(ttl 5v《==》cmos 3.3v),

所以互相连接时需要电平的转换,就是用两个电阻对电平分压,没有什么高深的

东西。OC门,即集电极开路门电路,它必须外界上拉电阻和电源才能将开关电

平作为高低电平用。否则它一般只作为开关大电压和大电流负载,所以又叫做驱

动门电路。

4).TTL和COMS电路比较:

1、TTL电路是电流控制器件,而coms电路是电压控制器件。

2、TTL电路的速度快,传输延迟时间短(5-10ns),但是功耗大。

COMS电路的速度慢,传输延迟时间长(25--50ns),但功耗低。

COMS电路本身的功耗与输入信号的脉冲频率有关,频率越高,芯片集

越热,这是正常现象。

5).COMS电路的锁定效应:

COMS电路由于输入太大的电流,内部的电流急剧增大,除非切断电源,

电流一直在增大。这种效应就是锁定效应。当产生锁定效应时,COMS的内部电

流能达到40mA以上,很容易烧毁芯片。

6).防御措施:

(1)、在输入端和输出端加钳位电路,使输入和输出不超过不超过规定

电压。

(2)、芯片的电源输入端加去耦电路,防止VDD端出现瞬间的高压。

(3)、在VDD和外电源之间加线流电阻,即使有大的电流也不让它进去。

(4)、当系统由几个电源分别供电时,开关要按下列顺序:开启时,先

开启COMS电路得电源,再开启输入信号和负载的电源;关闭时,先关闭输入信

号和负载的电源,再关闭COMS电路的电源。

7)、COMS电路的使用注意事项

(1)、COMS电路时电压控制器件,它的输入总抗很大,对干扰信号的

捕捉能力很弱

(2)、输入端接低内组的信号源时,要在输入端和信号源之间要串联限

流电阻,使输入的电流限制在1mA之内。

(3)、当接长信号传输线时,在COMS电路端接匹配电阻。

(4)、当输入端接大电容时,应该在输入端和电容间接保护电阻。电阻

值为R=V0/1mA.V0是外界电容上的电压。

(5)、COMS的输入电流超过1mA,就有可能烧坏COMS。

8).TTL门电路中输入端负载特性(输入端带电阻特殊情况的处理):

1、悬空时相当于输入端接高电平。因为这时可以看作是输入端接一个无穷大的电阻。

2、在门电路输入端串联10K电阻后再输入低电平,输入端出呈现的是高电平而不是低电平。因为由TTL门电路的输入端负载特性可知,只有在输入端接的串联电阻小于910欧时,它输入来的低电平信号才能被门电路识别出来,串联电阻再大的话输入端就一直呈现高电平。这个一定要注意。COMS门电路就不用考虑这些了。

9).TTL电路有集电极开路OC门,MOS管也有和集电极对应的漏极开路的OD门,它的输出就叫做开漏输出。OC门在截止时有漏电流输出,那就是漏电流,为什么有漏电流呢?那是因为当三机管截止的时候,它的基极电流约等于0,但是并不是真正的为0,经过三极管的集电极的电流也就不是真正的0,而是约0。而这个就是漏电流。

开漏输出:OC门的输出就是开漏输出;OD门的输出也是开漏输出。它可以吸收很大的电流,但是不能向外输出的电流。所以,为了能输入和输出电流,它使用的时候要跟电源和上拉电阻一齐用。

OD门一般作为输出缓冲/驱动器、电平转换器以及满足吸收大负载电流的需要。

10).什么叫做图腾柱,它与开漏电路有什么区别?

TTL集成电路中,输出有接上拉三极管的输出叫做图腾柱输出,没有的叫做OC门。因为TTL就是一个三级关,图腾柱也就是两个三级管推挽相连。所以推挽就是图腾。一般图腾式输出,高电平400UA,低电平8MA

四、集成数字电路分TTL和CMOS两种类型,这里以介绍CMOS集成数字电路为主,因它功耗低、工作电压范围宽、扇出能力强和售价低等,CMOS集成电路的常用特性:

1、工作电源电压

常用的CMOS集成电路工作电压范围为3 ~18V (也有7 ~15V 的,如国产的C000系列),因此使用该种器件时,电源电压灵活方便,甚至未加稳压的电源也可使用。

2、输入阻抗高

CMOS电路的输入端均有保护二极管和串联电阻构成的保护电路,在正常工作范围内,保护二极管均处于反向偏置状态,直流输入阻抗取决于这些二极管的泄漏电流。通常情况下,等效输入电阻大于108 Ω,因此驱动CMOS集成电路时,所消耗的驱动功率几乎可以不计。

3、输出电流

CMOS集成电路的输出电流(指内部各独立功能的输出端)一般是10mA,所以使用时应加推动级输出,但输出端若连接CMOS电路时(即扇出能力),因CMOS 电路的输入阻抗高,对于低频工作时,一个输出端可以带动50个以上输入端,实际上几乎不需考虑扇出功能的限制。

《数字集成电路基础》试题C

《数字集成电路基础》试题C (考试时间:120分钟) 班级:姓名:学号:成绩: 一、填空题(共30分) 1.三极管有NPN和PNP两种类型,当它工作在放大状态时,发射结____,集电 结______;NPN型三极管的基区是______型半导体,集电区和发射区是______型半导体。 2.把高电压作为逻辑1,低电平作为逻辑0的赋值方法称作_______逻辑赋 值。一种电路若在正逻辑赋值时为与非门,则在负逻辑赋值时为________。 3.四位二进制编码器有____个输入端;____个输出端。 4.将十进制数287转换成二进制数是________;十六进制数是_____ __。 5.根据触发器功能的不同,可将触发器分成四种,分别是____触发器、___ _触发器、____触发器和____触发器。 3=______。 A.发射结和集电结均处于反向偏置 B.发射结正向偏置,集电结反向偏置 C.发射结和集电结均处于正向偏置 2.在下列三个逻辑函数表达式中,____是最小项表达式。 A.B C ) A BC ,B ,A = + Y+ ( A B B ) A B ,A ( C B = B. C Y+ A

C. C AB ABC B C A C B A )D ,C ,B ,A (Y +++??= 3.用8421码表示的十进制数45,可以写成__________ A .45 B. [101101]BCD C. [01000101]BCD D. [101101]2 4.采用OC 门主要解决了_____ A .TTL 与非门不能相与的问题 B. TTL 与非门不能线与的问题 C. TTL 与非门不能相或的问题 5.已知某触发的特性表如下(A 、B 为触发器的输入)其输出信号的逻辑表达式为___ A . Q n+1 =A B. n n 1n Q A Q A Q +=+ C. n n 1n Q B Q A Q +=+ 三、化简下列逻辑函数,写出最简与或表达式:(共20分) 1. BC A C B A C B B A Y 1+?++= 2. Y 2=Σm (0,1,8,9,10,11) 3. Y 3见如下卡诺图

数字集成电路的分类

数字集成电路的分类 数字集成电路有多种分类方法,以下是几种常用的分类方法。 1.按结构工艺分 按结构工艺分类,数字集成电路可以分为厚膜集成电路、薄膜集成电路、混合集成电路、半导体集成电路四大类。图如下所示。 世界上生产最多、使用最多的为半导体集成电路。半导体数字集成电路(以下简称数字集成电路)主要分为TTL、CMOS、ECL三大类。 ECL、TTL为双极型集成电路,构成的基本元器件为双极型半导体器件,其主要特点是速度快、负载能力强,但功耗较大、集成度较低。双极型集成电路主要有 TTL(Transistor-Transistor Logic)电路、ECL(Emitter Coupled Logic)电路和I2L(Integrated Injection Logic)电路等类型。其中TTL电路的性能价格比最佳,故应用最广泛。

ECL,即发射极耦合逻辑电路,也称电流开关型逻辑电路。它是利用运放原理通过晶体管射极耦合实现的门电路。在所有数字电路中,它工作速度最高,其平均延迟时间tpd可小至1ns。这种门电路输出阻抗低,负载能力强。它的主要缺点是抗干扰能力差,电路功耗大。 MOS电路为单极型集成电路,又称为MOS集成电路,它采用金属-氧化物半导体场效应管(Metal Oxide Semi-conductor Field Effect Transistor,缩写为MOSFET)制造,其主要特点是结构简单、制造方便、集成度高、功耗低,但速度较慢。 MOS集成电路又分为PMOS(P-channel Metal Oxide Semiconductor,P沟道金属氧化物半导体)、NMOS(N-channel Metal Oxide Semiconductor,N沟道金属氧化物半导体)和CMOS(Complement Metal Oxide Semiconductor,复合互补金属氧化物半导体)等类型。 MOS电路中应用最广泛的为CMOS电路,CMOS数字电路中,应用最广泛的为4000、4500系列,它不但适用于通用逻辑电路的设计,而且综合性能也很好,它与TTL电路一起成为数字集成电路中两大主流产品。CMOS数字集成电路电路主要分为4000(4500系列)系列、54HC/74HC系列、54HCT/74HCT系列等,实际上这三大系列之间的引脚功能、排列顺序是相同的,只是某些参数不同而已。例如,74HC4017与CD4017为功能相同、引脚排列相同的电路,前者的工作速度高,工作电源电压低。4000系列中目前最常用的是B系列,它采用了硅栅工艺和双缓冲输出结构。 Bi-CMOS是双极型CMOS(Bipolar-CMOS)电路的简称,这种门电路的特点是逻辑部分采用CMOS结构,输出级采用双极型三极管,因此兼有CMOS电路的低功耗和双极型电路输出阻抗低的优点。 (1)TTL类型 这类集成电路是以双极型晶体管(即通常所说的晶体管)为开关元件,输入级采用多发射极晶体管形式,开关放大电路也都是由晶体管构成,所以称为晶体管-晶体管-逻辑,即Transistor-Transistor-Logic,缩写为TTL。TTL电路在速度和功耗方面,都处于现代数字集成电路的中等水平。它的品种丰富、互换性强,一般均以74(民用)或54(军用)为型号前缀。 ① 74LS系列(简称LS,LSTTL等)。这是现代TTL类型的主要应用产品系列,也是逻辑集成电路的重要产品之一。其主要特点是功耗低、品种多、价格便宜。 ② 74S系列(简称S,STTL等)。这是TTL的高速型,也是目前应用较多的产品之一。其特点是速度较高,但功耗比LSTTL大得多。

数字集成电路总结

数字集成电路基础学习总结

第一章数字电子技术概念 1.1 数字电子技术和模拟电子技术的区别 模拟信号:在时间上和数值上均作连续变化的电路信号。 数字信号:表示数字量的信号,一般来说数字信号是在两个稳定状态之间作阶跃式变化的信号,它有电位型和脉冲型两种表达形式:用高低不同的电位信号表示数字“1”和“0”是电位型表示法;拥有无脉冲表示数字“1”和“0”是脉冲型表示法。 数字电路包括:脉冲电路、数字逻辑电路。数字电路的特点:1)小、轻、功耗低2)抗干扰力强3)精度高 按电路组成的结构可分立元件电路 集成电路 数数字电路分类 小规模 按集成度的大小来分中规模 大规模 超大规模 双极型电路 按构成电路的半导体器件来分 单极型电路 组合逻辑电路 按电路有记忆功能来分 1.2 1.3 三极管:是一种三极(发射极E、基极B(发射结、集电结)半导体器件,他有NPN和PNP两种,可工作在截止、放大、饱和三种工作状态。 电流公式:I(E)=I(B)+I(C) 放大状态:I(C)=βI(B) 饱和状态:I(C)< βI(B) 1.4 数制,两要素基数 权 二进制,十进制,十六进制之间的转换: 二进制转换成十进制:二进制可按权相加法转化成十进制。 十进制转换成二进制:任何十进制数正数的整数部分均可用除2取余法转换成二进制数。 二进制转化成八进制:三位一组分组转换。 二进制转换成十六进制:四位一组分组转换。 八进制转换成十六进制:以二进制为桥梁进行转换。 1.5 码制 十进制数的代码表示法常用以下几种:8421BCD码、5421BCD码、余3BCD码。 8421BCD码+0011=5421BCD码 第二章逻辑代数基础及基本逻辑门电路

数字集成电路设计笔记归纳资料.doc

第三章、器件 一、超深亚微米工艺条件下MOS 管主要二阶效应: 1、速度饱和效应:主要出现在短沟道NMOS 管,PMOS 速度饱和效应不显著。主要原因是 TH GS V V -太大。在沟道电场强度不高时载流子速度正比于电场强度(μξν=),即载流子 迁移率是常数。但在电场强度很高时载流子的速度将由于散射效应而趋于饱和,不再随电场 强度的增加而线性增加。此时近似表达式为:μξυ=(c ξξ<) ,c sat μξυυ==(c ξξ≥),出现饱和速度时的漏源电压DSAT V 是一个常数。线性区的电流公式不变,但一旦达到DSAT V ,电流即可饱和,此时DS I 与GS V 成线性关系(不再是低压时的平方关系)。 2、Latch-up 效应:由于单阱工艺的NPNP 结构,可能会出现VDD 到VSS 的短路大电流。 正反馈机制:PNP 微正向导通,射集电流反馈入NPN 的基极,电流放大后又反馈到PNP 的基极,再次放大加剧导通。 克服的方法:1、减少阱/衬底的寄生电阻,从而减少馈入基极的电流,于是削弱了正反馈。 2、保护环。 3、短沟道效应:在沟道较长时,沟道耗尽区主要来自MOS 场效应,而当沟道较短时,漏衬结(反偏)、源衬结的耗尽区将不可忽略,即栅下的一部分区域已被耗尽,只需要一个较小的阈值电压就足以引起强反型。所以短沟时VT 随L 的减小而减小。 此外,提高漏源电压可以得到类似的效应,短沟时VT 随VDS 增加而减小,因为这增加了反偏漏衬结耗尽区的宽度。这一效应被称为漏端感应源端势垒降低。

4、漏端感应源端势垒降低(DIBL): VDS增加会使源端势垒下降,沟道长度缩短会使源端势垒下降。VDS很大时反偏漏衬结击穿,漏源穿通,将不受栅压控制。 5、亚阈值效应(弱反型导通):当电压低于阈值电压时MOS管已部分导通。不存在导电沟道时源(n+)体(p)漏(n+)三端实际上形成了一个寄生的双极性晶体管。一般希望该效应越小越好,尤其在依靠电荷在电容上存储的动态电路,因为其工作会受亚阈值漏电的严重影响。 绝缘体上硅(SOI) 6、沟长调制:长沟器件:沟道夹断饱和;短沟器件:载流子速度饱和。 7、热载流子效应:由于器件发展过程中,电压降低的幅度不及器件尺寸,导致电场强度提高,使得电子速度增加。漏端强电场一方面引起高能热电子与晶格碰撞产生电子空穴对,从而形成衬底电流,另一方面使电子隧穿到栅氧中,形成栅电流并改变阈值电压。 影响:1、使器件参数变差,引起长期的可靠性问题,可能导致器件失效。2、衬底电流会引入噪声、Latch-up、和动态节点漏电。 解决:LDD(轻掺杂漏):在漏源区和沟道间加一段电阻率较高的轻掺杂n-区。缺点是使器件跨导和IDS减小。 8、体效应:衬底偏置体效应、衬底电流感应体效应(衬底电流在衬底电阻上的压降造成衬偏电压)。 二、MOSFET器件模型 1、目的、意义:减少设计时间和制造成本。 2、要求:精确;有物理基础;可扩展性,能预测不同尺寸器件性能;高效率性,减少迭代次数和模拟时间 3、结构电阻:沟道等效电阻、寄生电阻 4、结构电容: 三、特征尺寸缩小 目的:1、尺寸更小;2、速度更快;3、功耗更低;4、成本更低、 方式: 1、恒场律(全比例缩小),理想模型,尺寸和电压按统一比例缩小。 优点:提高了集成密度 未改善:功率密度。 问题:1、电流密度增加;2、VTH小使得抗干扰能力差;3、电源电压标准改变带来不便;4、漏源耗尽层宽度不按比例缩小。 2、恒压律,目前最普遍,仅尺寸缩小,电压保持不变。 优点:1、电源电压不变;2、提高了集成密度 问题:1、电流密度、功率密度极大增加;2、功耗增加;3、沟道电场增加,将产生热载流子效应、速度饱和效应等负面效应;4、衬底浓度的增加使PN结寄生电容增加,速度下降。 3、一般化缩小,对今天最实用,尺寸和电压按不同比例缩小。 限制因素:长期使用的可靠性、载流子的极限速度、功耗。

数字集成电路必备考前复习总结

Digital IC:数字集成电路是将元器件和连线集成于同一半导体芯片上而制成的数字逻辑电路 或系统 第一章引论 1、数字IC芯片制造步骤 设计:前端设计(行为设计、体系结构设计、结构设计)、后端设计(逻辑设计、电路设计、版图设计) 制版:根据版图制作加工用的光刻版 制造:划片:将圆片切割成一个一个的管芯(划片槽) 封装:用金丝把管芯的压焊块(pad)与管壳的引脚相连 测试:测试芯片的工作情况 2、数字IC的设计方法 分层设计思想:每个层次都由下一个层次的若干个模块组成,自顶向下每个层次、每个模块分别进行建模与验证 SoC设计方法:IP模块(硬核(Hardcore)、软核(Softcore)、固核(Firmcore))与设计复用Foundry(代工)、Fabless(芯片设计)、Chipless(IP设计)“三足鼎立”——SoC发展的模式 3、数字IC的质量评价标准(重点:成本、延时、功耗,还有能量啦可靠性啦驱动能力啦 之类的) NRE (Non-Recurrent Engineering) 成本 设计时间和投入,掩膜生产,样品生产 一次性成本 Recurrent 成本 工艺制造(silicon processing),封装(packaging),测试(test) 正比于产量 一阶RC网路传播延时:正比于此电路下拉电阻和负载电容所形成的时间常数 功耗:emmmm自己算 4、EDA设计流程 IP设计系统设计(SystemC)模块设计(verilog) 综合 版图设计(.ICC) 电路级设计(.v 基本不可读)综合过程中用到的文件类型(都是synopsys版权): 可以相互转化 .db(不可读).lib(可读) 加了功耗信息

常用数字集成电路管脚排列及逻辑符号

常用数字集成电路管脚排列及逻辑符号
图 D-1 74LS00 四 2 输入与非门
图 D-2 74LS01 四 2 输入与非门(OC)
图 D-3 74LS02 四 2 输入或非门
图 D-4 74LS04 六反相器
图 D-5 74LS08 四 2 输入与门
图 D-6 74LS10 三 3 输入与非门
图 D-7 74LS20 双 4 输入与非门
图 D-8
R
74LS32 四 2 输入或门
S
Q
S R Q
R Q S
R
S
Q
图 D-9 74LS54 4 路 2-2-2-2 输入与或非门
图 D-10 74LS74 双上升沿 D 型触发器
图 D-11 74LS86 四 2 输入异或门
图 D-12
74LS112 双下降沿 J-K 触发器

图 D-13 74LS126 四总线缓冲器
图 D-14
74LS138 3 线-8 线译码器
图 D-15 74LS148 8 线-3 线优先编码器
图 D-16 74LS151 8 选 1 数据选择器
图 D-17 74LS153 双 4 选 1 数据选择器
图 D-18 74LS161 4 位二进制同步计数器
图 D-19 74LS194 4 位双向移位寄存器
图 D-20 74LS196 二-五-十进制计数器
图 D-21 74LS283 4 位二进制超前进位全加器
图 D-22
74LS290 二-五-十进制计数器
图 D-23
CD4011B 四 2 输入与非门
图 D-24 CD4081 四 2 输入与门

《数字集成电路基础》试题D

《数字集成电路基础》试题D (考试时间:120分钟) 班级: 姓名: 学号: 成绩: 一、填空题(共30分) 1. 当PN 结外加正向电压时,PN 结中的多子______形成较大的正向电流。 2. NPN 型晶体三极管工作在饱和状态时,其发射结和集电结的外加电压分别处于_ _____偏置和_______偏置。 3. 逻辑变量的异或表达式为:_____________________B A =⊕。 4. 二进制数A=1011010;B=10111,则A -B=_______。 5. 组合电路没有______功能,因此,它是由______组成。 6. 同步RS 触发器的特性方程为:Q n+1 =______,其约束方程为:______。 7. 将BCD 码翻译成十个对应输出信号的电路称为________,它有___个 输入端,____输出端。 8. 下图所示电路中,Y 1 Y 3 =______。 二、选择题(共 20分) 1. 四个触发器组成的环行计数器最多有____个有效状态。 A.4 B. 6 C. 8 D. 16 2. 逻辑函数D C B A F +=,其对偶函数F * 为________。 A .( )()D C B A ++ B. ()()D C B A ++ C. ()()D C B A ++ 3. 用8421码表示的十进制数65,可以写成______。 A .65 B. [1000001]BCD C. [01100101]BCD D. [1000001]2 1 A B 3

4. 用卡诺图化简逻辑函数时,若每个方格群尽可能选大,则在化简后的最简表达式 中 。 A .与项的个数少 B . 每个与项中含有的变量个数少 C . 化简结果具有唯一性 5. 已知某电路的真值表如下,该电路的逻辑表达式为 。 A .C Y = B. A B C Y = C .C AB Y += D .C C B Y += 三、化简下列逻辑函数,写出最简与或表达式:(共20分) 1. 证明等式:AB B A B A B A +?=+ 2. Y 2=Σm (0,1,2,3,4,5,8,10,11,12) 3. Y 3=ABC C AB C B A C B A +++? 四、分析设计题 (共 30分)

常用基本数字集成电路应用设计

课程设计题目:常用基本数字集成电路应用设计 学生姓名: 学号: 院系: 专业班级: 指导教师姓名及职称: 起止时间: 课程设计评分: 常用基本数字集成电路应用设计 1.多谐振荡器概述 多谐振荡器是一种自激振荡器,它不需要输入触发信号,接通电源后就可自动输出矩形脉冲。由于矩形脉冲含有丰富的谐波分量,因此,常将矩形脉冲产生电路称为多谐振荡器。 1.1非门电路构成的多谐振荡器设计

1.1.1基本原理 门电路构成多谐振荡器 非门作为一个开关倒相器件,可用以构成各种脉冲波形的产生电路。电路的基本工作 原理是利用电容器的充放电,当输入电压达到与非门的阈值电压VT 时,门的输出状态即发生变化。因此,电路输出的脉冲波形参数直接取决于电路中阻容元件的数值。 (1)不对称多谐振荡器 非对称型多谐振荡器的输出波形是不对称的,当用TTL与非门组成时,输出脉冲宽度 tw1=RC, tw2=1.2RC, T=2.2RC 调节 R和C值,可改变输出信号的振荡频率,通常用改变C实现输出频率的粗调,改 变电位器R实现输出频率的细调。 图1为不对称多谐振荡器,为了使电路产生振荡,要求U1A和U1B两个反向器都工作在电压传输特性的转折区,即工作在放大区。 (2)对称型多谐振荡器 电路完全对称,电容器的充放电时间常数相同, 故输出为对称的方波。改变R和C的值, 可以改变输出振荡频率。非门3用于输出波形整形。 一般取R≤1KΩ?,当R1=R2=1KΩ,C1=C2=100pf~100μf时,f可在几Hz~MHz 变化。

脉冲宽度tw1=tw2=0.7RC,T=1.4RC. 图2中,U1A和U1B两个反向器之间经电容C1和C2耦合形成正反馈回路。 (3) 石英晶体稳频的多谐振荡器 当要求多谐振荡器的工作频率稳定性很高时,上述几种多谐振荡器的精度已不能满足要 求。为此常用石英晶体作为信号频率的基准。用石英晶体与门电路构成的多谐振荡器常用来 为微型计算机等提供时钟信号。 图3所示为常用的晶体稳频多谐振荡器。(a)、 (b)为TTL器件组成的晶体振荡电路;(c)、 (d)为CMOS器件组成的晶体振荡电路,一般用于电子表中,其中晶体的f0=32768Hz。 图3(c)中,门1用于振荡,门2用于缓冲整形。Rf是反馈电阻,通常在几十兆欧之 间选取,一般选22MΩ。R起稳定振荡作用,通常取十至几百千欧。C1是频率微调电容器, C2用于温度特性校正。

各种集成电路介绍

第一节三端稳压IC 电子产品中常见到的三端稳压集成电路有正电压输出的78××系列和负电压输出的79××系列。故名思义,三端IC是指这种稳压用的集成电路只有三条引脚输出,分别是输入端、接地端和输出端。它的样子象是普通的三极管,TO-220的标准封装,也有9013样子的TO-92封装。 用78/79系列三端稳压IC来组成稳压电源所需的外围元件极少,电路内部还有过流、过热及调整管的保护电路,使用起来可靠、方便,而且价格便宜。该系列集成稳压IC型号中的78或79后面的数字代表该三端集成稳压电路的输出电压,如7806表示输出电压为正6V,7909表示输出电压为负9V。 78/79系列三端稳压IC有很多电子厂家生产,80年代就有了,通常前缀为生产厂家的代号,如TA7805是东芝的产品,AN7909是松下的产品。(点击这里,查看有关看前缀识别集成电路的知识) 有时在数字78或79后面还有一个M或L,如78M12或79L24,用来区别输出电流和封装形式等,其中78L调系列的最大输出电流为100mA,78M系列最大输出电流为1A,78系列最大输出电流为1.5A。它的封装也有多种,详见图。塑料封装的稳压电路具有安装容易、价格低廉等优点,因此用得比较多。79系列除了输出电压为负。引出脚排列不同以外,命名方法、外形等均与78系列的相同。 因为三端固定集成稳压电路的使用方便,电子制作中经常采用,可以用来改装分立元件的稳压电源,也经常用作电子设备的工作电源。电路图如图所示。 注意三端集成稳压电路的输入、输出和接地端绝不能接错,不然容易烧坏。一般三端集成稳压电路的最小输入、输出电压差约为2V,否则不能输出稳定的电压,一般应使电压差保持在4-5V,即经变压器变压,二极管整流,电容器滤波后的电压应比稳压值高一些。 在实际应用中,应在三端集成稳压电路上安装足够大的散热器(当然小功率的条件下不用)。当稳压管温度过高时,稳压性能将变差,甚至损坏。 当制作中需要一个能输出1.5A以上电流的稳压电源,通常采用几块三端稳压电路并联起来,使其最大输出电流为N个1.5A,但应用时需注意:并联使用的集成稳压电路应采用同一厂家、同一批号的产品,以保证参数的一致。另外在输出电流上留有一定的余量,以避免个别集成稳压电路失效时导致其他电路的连锁烧毁。 第二节语音集成电路 电子制作中经常用到音乐集成电路和语言集成电路,一般称为语言片和音乐片。它们一般都是软包封,即芯片直接用黑胶封装在一小块电路板上。语音IC一般还需要少量外围元件才能工作,它们可直接焊到这块电路板上。

数字集成电路

数字集成电路专题研究 摘要:现在的电路可以分为两个方向,一个是数字,还有一个是模拟,在此更加偏重对数字方面的研究!全文一共可以分为两部分,一部分是基本的数字电路,还有一部分为较大型的集成电路。前一部分(基本数字电路)从认识数字电路开始,其间涉及到数字电路的分析方法---函数分析方法;在数字电路中分TTL 和COMS两种电路,在此报告中提到了这两种电路的电平比较关系。因COMS电路功耗低、工作电压范围宽、扇出能力强和售价低等优点,所以着重介绍一下CMOS 电路的常用特性,以及由它构成的一些常见的数字电路!而在后半部方介绍的是集成电路,从集成电路的分类到如何做好集成电路的设计;集成电路的设计分为前端和后端设计前端是指逻辑部分,后端是指物理层的设计.前端是设计内部的逻辑.后端是指假设逻辑设计已经完成,如何做出最后的芯片,涉及到芯片内部如何分区,如何布线,模拟部分,寄生效应等等.而由于专业方向这里又着重去讨论前端设计:系统集成芯片(SoC)的IC设计。同时收集了一些集成电路的设计工具。 关键字:数字电路函数表示 COMS集成电路常

见的数字电路集成电路分类 IC前端设计工具系统集成芯片SOC IC设计软件 VHDL/ Veriolg HDL 正文: 一.数字电路简介: 在电子设备中,通常把电路分为模拟电路和数字电路两类,前者涉及模拟信号,即连续变化的物理量,例如在24小时内某室内温度的变化量;后者涉及数字信号,即断续变化的物理量,开关K 快速通、断时,在电阻R 上就产生一连串的脉冲(电压),这就是数字信号。人们把用来传输、控制或变换数字信号的电子电路称为数字电路。数字电路工作时通常只有两种状态:高电位(又称高电平)或低电位(又称低电平)。通常把高电位用代码“1 ”表示,称为逻辑“1 ”;低电位用代码“0 ”表示,称为逻辑“0 ”(按正逻辑定义的)。注意:有关产品手册中常用“H ”代表“1 ”、“L ”代表“0 ”。实际的数字电路中,到底要求多高或多低的电位才能表示“1 ”或“0 ”,这要由具体的数字电路来定。例如一些TTL 数字电路的输出电压等于或小于0.2V,均可认为是逻辑“0 ”,等于或者大于3V,均可认为是逻辑“1 ”(即电路技术指标)。CMOS数字电路的逻辑“0 ”或“1 ”的电位值是与工作电压有关的。讨论数字电路

数字集成电路设计流程介绍

2002 年版权,复旦大学专用集成电路与系统国家重点实验室(设计流程1) 数字集成电路设计流程介绍 唐长文 2002年7月8日

2002 年版权,复旦大学专用集成电路与系统国家重点实验室(设计流程2) 内容 一、设计流程介绍1、流程图及设计步骤2、EDA软件 二、硬件描述语言简介 1、传统自下向上的设计方法 2、基于硬件描述语言的自顶向下的设计方法 3、硬件描述语言--VHDL介绍 4、VHDL语言设计实例 三、数字系统的结构设计-行为级或RTL级设计1、系统规范2、系统框架 3、系统源代码设计 4、系统行为级仿真 四、数字系统的电路设计--门级电路设计1、FPGA逻辑综合2、ASIC逻辑综合3、综合后仿真 五、数字系统的版图设计1、FPGA器件实现 2、基于标准单元ASIC版图的自动化生成 3、版图后仿真 六、版图验证和管子级仿真1、DRC&LVS 2、Star_sim管子级仿真

一、设计流程介绍 C语言仿真Matlab仿真COSSAP仿真

2002 年版权,复旦大学专用集成电路与系统国家重点实验室(设计流程4) 数字集成电路设计主要分为四大步:1、行为级、RTL 级源代码设计2、电路设计-门级电路设计(1)FPGA 逻辑综合(2)ASIC 逻辑综合3、版图设计 (1)FPGA 版图布局布线设计(器件实现) (2)ASIC 版图布局布线设计(基于标准单元库)4、版图验证(DRC&LVS) ?设计的步骤

2002 年版权,复旦大学专用集成电路与系统国家重点实验室 (设计流程5) ?EDA 软件 (1)FPGA 设计需要的软件源代码设计和仿真9Active-HDL FPGA 逻辑综合 9Synopsys FPGA Express 、Synplicity Synplify 、 Examplar LeonardoSpectrum 、XST(Xilinx Synthesis Tech)FPGA 器件实现 9Xilinx Foundation ISE 、Altera MaxplusII

数字集成电路应用举例

数字集成电路应用举例

第15章数字集成电路应用举例教学重点: 1.掌握比较器的工作原理。 2.了解数据选择器工作原理。 3.掌握555时基电路的功能,了解555时基电路的应用。 4.了解各种集成电路的接口电路。 教学难点: 1.555时基集成电路的应用。 2.集成电路的接口电路。 学时分配: 序号内容学时 1 15.1 比较器与选择器 2 2 15.2 时基集成电路的应用 2 3 15.3 集成电路的接口电路 1.5 4 本章小结与习题0.5 5 本章总学时 6 15.1 比较器与选择器 15.1.1 数码比较器 数码比较器是能够比较两 136 图15.1.1 同比较器

137 个数码的逻辑电路。同比较器:只能比较两个数码是否相同的比较器; 大小比较器:可以比较两个数码的大小的比较器。 一、同比较器 1.电路构成:由四个异或门和一个或非门组成。 2.逻辑函数式: 0112233B A B A B A B A Y ⊕+⊕+⊕+⊕= 3.工作原理: Y = 1时,两个数相等;Y = 0时,两数不等。 二、大小比较器 1.电路构成:(一位二进制数的比较)两个非门和两个与门构成。 2.逻辑功能:当012 1 ====>i i i i i i A B Y B A Y B A ,,; 当102 1 ====

表15.1.1 一位大小比较器真值表 4.多位数码的比较 可采用逐位比较法,首先从最高位开始,依次比出结果。 15.1.2 多路选择器 多路选择器是从多个输入信号中,选择一个并且单个输出的电路。 图15.1.3是4选1选择器。 A1、A2、A3、A4及B1、B2、B3、B4为输入的多个信号,S为旋转开关,P为控制信号――输入选通脉冲。 功能:与一个单刀多掷开关相似。 适用场合:广泛运用于多输入、单输出的数据传输网络。也叫数据选择器。 图15.1.4为集成多路数据选择器。集成多路数据选择器的功能参见表15.1.2。 138

数字芯片介绍

一、CPLD CPLD是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法,生成相应的目标文件,通过下载电缆(“在系统”编程)将代码传送到目标芯片中,实现设计的数字系统。 这里以抢答器为例讲一下它的设计(装修)过程,即芯片的设计流程。CPLD的工作大部分是在电脑上完成的。打开集成开发软件(Altera公司Max+pluxII)→画原理图、写硬件描述语言(VHDL,Verilog)→编译→给出逻辑电路的输入激励信号,进行仿真,查看逻辑输出结果是否正确→进行管脚输入、输出锁定(7128的64个输入、输出管脚可根据需要设定)→生成代码→通过下载电缆将代码传送并存储在CPLD芯片中。7128这块芯片各管脚已引出,将数码管、抢答开关、指示灯、蜂鸣器通过导线分别接到芯片板上,通电测试,当抢答开关按下,对应位的指示灯应当亮,答对以后,裁判给加分后,看此时数码显示加分结果是否正确,如发现有问题,可重新修改原理图或硬件描述语言,完善设计。设计好后,如批量生产,可直接复制其他CPLD芯片,即写入代码即可。如果要对芯片进行其它设计,比如进行交通灯设计,要重新画原理图、或写硬件描述语言,重复以上工作过程,完成设计。这种修改设计相当于将房屋进行了重新装修,这种装修对CPLD来说可进行上万次。 家庭成员:经过几十年的发展,许多公司都开发出了CPLD可编程逻辑器件。比较典型的就是Altera、Lattice、Xilinx世界三大权威公司的产品,这里给出常用芯片:Altera EPM7128S(PLCC84) Lattice LC4128V(TQFP100) Xilinx XC95108 (PLCC84) 可编程逻辑器件的两种主要类型是现场可编程门阵列(FPGA)和复杂可编程逻辑器件(CPLD)。在这两类可编程逻辑器件中,FPGA提供了最高的逻辑密度、最丰富的特性和最高的性能。现在最新的FPGA器件,如Xilinx Virtex?系列中的部分器件,可提供八百万"系统门"(相对逻辑密度)。这些先进的器件还提供诸如内建的硬连线处理器(如IBM Power PC)、大容量存储器、时钟管理系统等特性,并支持多种最新的超快速器件至器件(device-to-device)信号技术。FPGA被应用于范围广泛的应用中,从数据处理和存储,以及到仪器仪表、电信和数字信号处理等。 与此相比,CPLD提供的逻辑资源少得多 - 最高约1万门。但是,CPLD提供了非常好的可预测性,因此对于关键的控制应用非常理想。而且如Xilinx CoolRunner?系列CPLD器件需要的功耗极低,并且价格低廉,从而使其对于成本敏感的、电池供电的便携式应用(如移动电话和数字手持助理)非常理想。 在线检测指的是在不影响设备运行的条件下,对设备状况连续或定时进行的监测,通常是自动进行的。 二、时钟芯片DS12887

常用数字集成电路资料.

CD4000 双3输入端或非门+单非门TI CD4001 四2输入端或非门 HIT/NSC/TI/GOL CD4002 双4输入端或非门NSC CD4006 18位串入/串出移位寄存 器NSC CD4007 双互补对加反相器NSC CD4008 4位超前进位全加器NSC CD4009 六反相缓冲/变换器NSC CD4010 六同相缓冲/变换器NSC CD4011 四2输入端与非 门HIT/TI CD4012双4输入端与非门NSC CD4013双主-从D型触发器 FSC/NSC/TOS CD4014 8位串入/并入-串出移位寄存器NSC CD4015 双4位串入/并 出移位寄存器TI CD4016 四传输门FSC/TI CD4017 十进制计数/分配器 FSC/TI/MOT CD4018可预希9 1/N计数器NSC/MOT CD4019四与或选择器PHI CD4020 1 4级串行二进制计数/分频器FSC CD4021 08位串入/并入-串出移位寄存器 PHI/NSC CD4022 八进9计数/分配器NSC/MOT 型号器件名称厂牌备注CD4023 三3输入端与非门NSC/MOT/TI CD4024 7级二进制串行计数/分频器NSC/MOT/TI CD4025 三3输入端或非门NSC/MOT/TI CD4026 十进9计数/7段译码器 NSC/MOT/TI CD4027 双J-K 触发器NSC/MOT/TI CD4028 BCD 码十进制译码器 NSC/MOT/TI CD4029 可预置可逆计数器NSC/MOT/TI CD4030 四异或门 NSC/MOT/TI/GOL CD4031 64 位串入/串出移位存储器NSC/MOT/TI CD4032 三串行加法器NSC/TI CD4033 十进制计数/7段译码器NSC/TI CD4034 8位通用总线寄 存器NSC/MOT/TI CD4035 4 位并入/串入-并出/串出移位寄存NSC/MOT/TI CD4038 三串行加法器NSC/TI CD4040 12级二进制串行计数/分频器NSC/MOT/TI CD4041 四同相/反相缓冲器NSC/MOT/TI CD4042四锁存D型触发器NSC/MOT/TI CD4043 4三态R-S锁存触发器("1"触发NSC/MOT/TI CD4044四三态R-S锁存触发器("0"触 发NSC/MOT/TI CD4046 锁相环NSC/MOT/TI/PHI CD4047 无稳态/单稳态多谐振荡器NSC/MOT/TI 型号器件名称厂牌备注CD4048 4输入端可扩展多功能门 NSC/HIT/TI CD4049 六反相缓冲/变换器NSC/HIT/TI CD4050 六同相缓冲/变换器 NSC/MOT/TI CD4051 八选一模拟开关NSC/MOT/TI CD4052 双4选1模拟开关 NSC/MOT/TI CD4053 三组二路模拟开关NSC/MOT/TI CD4054 液晶显示驱动器 NSC/HIT/TI CD4055 BCD-7 段译码/液晶驱动器NSC/HIT/TI CD4056 液晶显示驱动器NSC/HIT/TI CD4059 “N分频计数器NSC/TI CD4060 14级二进制串行计数/分频 器NSC/TI/MOT CD4063 四位数字比较器NSC/HIT/TI CD4066 四传输门 NSC/TI/MOT CD4067 16 选1模拟开关NSC/TI CD4068 八输入端与非门/与门

数字集成电路应用举例

第15章 数字集成电路应用举例 教学重点: 1.掌握比较器的工作原理。 2.了解数据选择器工作原理。 3.掌握555时基电路的功能,了解555时基电路的应用。 4.了解各种集成电路的接口电路。 教学难点: 1.555时基集成电路的应用。 2.集成电路的接口电路。 学时分配: 序号 容 学 时 1 15.1 比较器与选择器 2 2 15.2 时基集成电路的应用 2 3 15.3 集成电路的接口电路 1.5 4 本章小结与习题 0.5 5 本章总学时 6 15.1 比较器与选择器 15.1.1 数码比较器 数码比较器是能够比较两个数码的逻辑电路。同比较器:只能比较两个数码是否相同的比较器; 大小比较器:可以比较两个数码的大小的比较器。 一、同比较器 1.电路构成:由四个异或门和一个或非门组成。 2.逻辑函数式: 00112233B A B A B A B A Y ⊕+⊕+⊕+⊕= 3.工作原理: Y 1时,两个数相等;Y 0时,两数不等。 二、大小比较器 图15.1.1 同比较器 图15.1.2 一位大小比较器

1.电路构成:(一位二进制数的比较)两个非门和两个与门构成。 2.逻辑功能:当0121====>i i i i i i A B Y B A Y B A ,,; 当1021====

数字集成电路

CMOS - 数字集成电路 (讲义) 编著吴金 东南大学无锡分校 2008.09

目录 第一章 绪论 1.1信号处理的对象方式与特点 1.2教学方法与重要知识点 1.3课程目标与要求 1.4主要参考文献1-5 第二章静态组合逻辑电路 2.1概述 2.2组合逻辑 2.3 NMOS反相器 2.4 CMOS组合逻辑的实现原理 2.4.1 CMOS逻辑原理 2.4.2 静态CMOS倒相器 2.4.3 CMOS逻辑门 2.5 NMOS组合逻辑逻辑 2.5.1 NMOS基本逻辑门 2.5.2 伪NMOS逻辑 2.6传输门开关逻辑 2.6.1 CPL逻辑 2.6.2、DPL逻辑 2.6.3 多路开关MUX逻辑 2.7 差分逻辑 2.8本章小结2-23 第三章动态组合逻辑电路 3.1 概述 3.2动态逻辑 3.3多米诺动态组合逻辑电路 3.3.1 同型Domino-CMOS动态逻辑 3.3.2 np-CMOS 动态逻辑 3.4 钟控逻辑 3.5 钟控动态逻辑电路 3.4.1 无竞争动态逻辑 NPORA 3.4.2 单相位时钟动态逻辑 3.4.3 差分动态逻辑 3.6本章小结 3-13 第四章时序逻辑电路 4.1概述 4.2锁存器 - Latch 4.3触发器 – Flip-Flop 4.3.1 边沿型触发器

4.3.2主从R-S触发器 4.4逻辑电路结构 4.4.1 D_Latch电路 4.4.2 D_FF电路 4.5寄存器 Register 4.5.1 双港口寄存器 4.5.2 移位寄存器 4.6分频器 Frequency Divide 4.6.1 基本1/2分频单元 4.6.2 规则分频器/计数器 4.6.3 奇数分频器 4.6.4 任意占空比和任意进制的分频器 4.6.5 1:1占空比的奇数分频器(1/N, Odd N) 4.7计数器 - Counter 4.7.1 N进制异步计数器 4.7.2 N进制同步计数器 4.8 本章小结4-25 第五章数据与控制通道 5.1概述 5.2 1-bit加法器 5.2.1 1bit 半/全加器原理 5.2.2 基于传输逻辑的1bit全加器 5.3 N-bit加法器 5.3.1 进位完成加法器CCA(Carry Completion Adder) 5.3.2 条件加法器-Conditional Sum Adder(CSA) 5.3.3 进位选择加法器-Carry Select Adder(CSA) 5.3.4 超前进位加法器-Carry Lookahead Adder(CLA) 5.4编码/解码电路 5.4.1 组合逻辑译码电路 5.4.2 阵列译码电路 5.4.3 可编程译码电路 5.5控制电路 5.6本章小结5-26 第六章存储器 6.1概述 6.2 SRAM存储器 6.2.1 存储单元 6.2.2 存储阵列的系统结构 6.2.3地址译码器 6.2.4灵敏放大器 6.3 非挥发存储器 6.3.1 ROM 6.3.2 EPROM和E2PROM

常用数字芯片大全

产品 型号规格性能说明型号规格性能说明 名称 74LS SN74LSOO四2输入与非门SN74LSO1四2输入与非门 SN74LSO2四2输入与非门SN74LS03四2输入与非门 SN74LS04六反相器SN74LS05六反相器 SN74LS06六反相缓冲器/驱动器SN74LS07六缓冲器/驱动器 SN74LS08四2输入与非门SN74LS09四2输入与非门 SN74LS10三3输入与非门SN74LS11三3输入与非门 SN74LS12三3输入与非门SN74LS13三3输入与非门 SN74LS14六反相器.斯密特触发SN74LS15三3输入与非门 SN74LS16六反相缓冲器/驱动器SN74LS17六反相缓冲器/驱动器 SN74LS20双4输入与门SN74LS21双4输入与门 SN74LS22双4输入与门SN74LS25双4输入与门 SN74LS26四2输入与非门SN74LS27三3输入与非门 SN74LS28四输入端或非缓冲器SN74LS30八输入端与非门 SN74LS32四2输入或门SN74LS33四2输入或门 SN74LS37四输入端与非缓冲器SN74LS38双2输入与非缓冲器 SN74LS40四输入端与非缓冲器SN74LS42BCD-十进制译码器 SN74LS47BCD-七段译码驱动器SN74LS48BCD-七段译码驱动器SN74LS49BCD-七段译码驱动器SN74LS51三3输入双与或非门 SN74LS54四输入与或非门SN74LS55四4输入与或非门 SN74LS63六电流读出接口门SN74LS73双J-K触发器 SN74LS74双D触发器SN74LS754位双稳锁存器 SN74LS76双J-K触发器SN74LS78双J-K触发器 SN74LS83双J-K触发器SN74LS854位幅度比较器 SN74LS86四2输入异或门SN74LS884位全加器 SN74LS904位十进制波动计数器SN74LS918位移位寄存器 SN74LS9212分频计数器SN74LS93二进制计数器 SN74LS965位移位寄存器SN74LS954位并入并出寄存器 SN74LS109正沿触发双J-K触发器SN74LS107双J-K触发器 SN74LS113双J-K负沿触发器SN74LS112双J-K负沿触发器 SN74LS121单稳态多谐振荡器SN74LS114双J-K负沿触发器 SN74LS123双稳态多谐振荡器SN74LS122单稳态多谐振荡器 SN74LS125三态缓冲器SN74LS124双压控振荡器 SN74LS1313-8线译码器SN74LS126四3态总线缓冲器 SN74LS13313输入与非门SN74LS132二输入与非触发器 SN74LS137地址锁存3-8线译码器SN74LS136四异或门 SN74LS139双2-4线译码-转换器SN74LS1383-8线译码/转换器 SN74LS14710-4线优先编码器SN74LS145BCD十进制译码/驱动器SN74LS153双4选1数据选择器SN74LS1488-3线优先编码器 SN74LS155双2-4线多路分配器SN74LS1518选1数据选择器 SN74LS157四2选1数据选择器SN74LS1544-16线多路分配器 SN74LS160同步BDC十进制计数器SN74LS156双2-4线多路分配器

相关文档
最新文档