重庆大学通信工程学院数字电子技术课程试卷

重庆大学通信工程学院数字电子技术课程试卷
重庆大学通信工程学院数字电子技术课程试卷

重庆大学数字电子技术课程试卷(2010)

开课学院:通信工程学院

期末考试试题

课程名称 《数字电子技术》 适用专业:电子信息工程、通信工程 考试时间 ( 120 )分钟

一、 填空题(22分每空2分)

1、=⊕0A , =⊕1A 。

2、JK 触发器的特性方程为: 。

3、单稳态触发器中,两个状态一个为 态,另一个为 态.多谐振荡器两个状态都为 态, 施密特触发器两个状态都为 态.

4、组合逻辑电路的输出仅仅只与该时刻的 有关, 而与 无关。

5、某数/模转换器的输入为8位二进制数字信号(D 7~D 0),输出为0~25.5V 的模拟电压。若数字信号的最低位是“1”其余各位是“0”,则输出的模拟电压为 。

6、一个四选一数据选择器,其地址输入端有 个。 二、 化简题(15分 每小题5分)

用卡诺图化简逻辑函数,必须在卡诺图上画出卡诺圈

1) Y (A,B,C,D )=∑m (0,1,2,3,4,5,6,7,13,15)

2)∑∑+=)11,10,9,3,2,1()15,14,13,0(),,,(d m D C B A L 利用代数法化简逻辑函数,必须写出化简过程

3)________________________________________

__________)(),,(B A B A ABC B A C B A F +++=

三、 画图题(10分 每题5分)

据输入波形画输出波形或状态端波形(触发器的初始状态为0). 1、

2、

1、分析下图,并写出输出逻辑关系表达式,要有分析过程(6分)

2、电路如图所示,分析该电路,画出完全的时序图,并说明电路的逻辑功能,要有分析过程(11分)

五、设计题(28分)

1、用红、黄、绿三个指示灯表示三台设备的工作情况:绿灯亮表示全部正常;

红灯亮表示有一台不正常;黄灯亮表示两台不正常;红、黄灯全亮表示三台都不正常。列出控制电路真值表,要求用74LS138和适当的与非门实现此电路(20分)

2、中规模同步四位二进制计数器74LS161的功能表见附表所示;请用反馈预置回零法设计一个六进制加法计数器。(8分)

V作用下,输出电压的波形和电压传输特性画出下图所示电路在

i

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1.时序逻辑电路一般由和两分组成。 2.十进制数(56)10转换为二进制数为和十六进制数为。 3.串行进位加法器的缺点是,想速度高时应采用加法器。 4.多谐振荡器是一种波形电路,它没有稳态,只有两个。 5.用6个D 触发器设计一个计数器,则该计数器的最大模值M=。 123(1(24.T ,图1 5 时,6.D 触发器 的Q 和Q1的表达式,并画出其波形。 图 D=Q n+1=Q 1= 7.已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表;

⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A 、B 、C 输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2ABCF 2求: (1(21.3.4.产生5.32 10分,共 70分) 1.解: 2.证明:左边 3.解: (1)化简该 函数为最简与或式: 解: F 3()43A B C D E A B C D E AB AC A D E =++++--------------=?+++--------------=++-------------分 分 分 ()()33()(1)22BC D B C AD B BC D BAD CAD BC BC BC D BA CA =++++--------------=++++--------------=++++-----------------------分 分分分

填对卡诺图圈对卡诺图-----------2分 由卡诺图可得: F A B A C D A C D B C B D =++++------------------------------2分 (2)画出用两级与非门实现的最简与或式电路图: 则可得电路图如下:------------------------------------------------2分 4.T 1=0.7T=0.7f= T 1=q= 1T T 5.6. 方程: n n n Q Q K Q 0 0000=+ 1111110(n n n n Q J Q K Q Q X +=+=⊕(2分) ③输出方程:n n Q Q Y 01=-----------------------------------------(1分) ④状态表:--------------------------------------------------------------------(3分) ⑤从状态表可得:为受X 控制的可逆4进制值计数器。-----------------------------(2分) 1.解:(1)依题意得真值表如下:--------------------------3分 0102J J Q ⊕(分)

(完整版)数字电子技术基础模拟试题A及答案

74LS191功能表 LD CT D U / CP D 0 D 1 D 2 D 3 Q 0 Q 1 Q 2 Q 3 0 × × × d 0d 1 d 2 d 3 1 0 0 ↑ ×××× 1 0 1 ↑ ×d 0 d 1 d 2 d 3 加法计数 减法计数 命 题 人 : 审 题 人 : 命 题 时 间 : 系名 专业 年级、班 学号 姓名 数字电子技术 课程试题( 卷) 题号 一 二 三 四 五 六 七 八 九 十 总分 得分 (请将答案写在答题纸上,答在试卷上不给分) 一. 选择题(16分) 1.已知A B A B B A Y +++=,下列结果正确的是( ) a . Y =A b .Y=B c .A B Y += d .Y=1 2.已知A=(10.44)10(下标表示进制),下列结果正确的是( ) a . A=(1010.1)2 b .A=(0A .8)16 c . A=(12.4)8 d .A=(20.21)5 3.下列说法不正确的是( ) a .当高电平表示逻辑0、低电平表示逻辑1时称为正逻辑 b .三态门输出端有可能出现三种状态(高阻态、高电平、低电平) c .OC 门输出端直接连接可以实现正逻辑的线与运算 d .集电极开路的门称为OC 门 4.以下错误的是( ) a .数字比较器可以比较数字大小 b . 半加器可实现两个一位二进制数相加 c .编码器可分为普通全加器和优先编码器 d .上面描述至少有一个不正确 5.下列描述不正确的是( ) a .触发器具有两种状态,当Q=1时触发器处于1态 b .时序电路必然存在状态循环 c .异步时序电路的响应速度要比同步时序电路的响应速度慢 d .主从JK 触发器具有一次变化现象 6.电路如下图(图中为上升沿Jk 触发器),触发器当前状态Q 3 Q 2 Q 1为“100”,请问在时钟作用下,触发器下一状态(Q 3 Q 2 Q 1)为( ) a .“101” b .“100” c .“011” d .“000” 7.电路如下图,已知电路的当前状态Q 3 Q 2 Q 1 Q 0为“1100”,74LS191具有异步置数的逻辑功能,请问在时钟作用下,电路的下一状态(Q 3 Q 2 Q 1 Q 0)为( ) a .“1100” b .“1011” c .“1101” d .“0000” 8.下列描述不正确的是( ) a .EEPROM 具有数据长期保存的功能且比EPROM 在数据改写上更方便 b .DAC 的含义是数-模转换、ADC 的含义是模数转换 c .积分型单稳触发器电路只有一个状态 d .上面描述至少有一个不正确 二.判断题(9分) 1.TTL 输出端为低电平时带拉电流的能力为5mA ( ) 2.TTL 、CMOS 门中未使用的输入端均可悬空( ) 3.当决定事件发生的所有条件中任一个(或几个)条件成立时,这件事件就会发生,这种因果关系称为与运算。() 4.将代码状态的特点含义“翻译”出来的过程称为译码。实现译码操作的电路称为译码器。() 5.设计一个3进制计数器可用2个触发器实现( ) 6.移位寄存器除了可以用来存入数码外,还可以利用它的移存规律在一定的范围内构成任意模值n 的计数器。所以又称为移存型计数器( ) 7. 判断时序逻辑电路能否自启动可通过判断该电路是否存在有效循环来实现( ) 8. 施密特触发器电路具有两个稳态,而多谐振荡器电路没有稳态( ) 9. DRAM 需要定期刷新,因此,在微型计算机中不如SRAM 应用广泛( ) 三.计算题(8分) 1、在如图所示电路中,U cc =5V ,U BB =9V ,R 1=5.1kΩ, R 2=15kΩ,R c =1kΩ,β=40,请计算U I 分别为5V ,0.3V 时输出U O 的大小?。 密 线 封 A B

模拟电子技术期末考试试卷及答案

《模拟电子技术》期末考试卷 一、填空题(20 分) 1、二极管最主要的特性是 。 2、当三极管处于放大状态时其放射结、集电结的偏置方式为 、 。 3、多级放大电路中,常见的级间的耦合方式有 、 、 。 4、负反馈放大电路中的四种组态为 、 、 、 。 5、正弦波振荡电路的振荡条件为 、 。 二、选择题(20分) 1、P 型半导体中的多数载流子是 ,N 型半导体中的多数载流子是 。A 、电子 B 、空穴 C 、正离子 2、杂质半导体中少数载流子的浓度 本征半导体载流子的浓度。A 、大于 B 、小于 C 、等于 3、温度升高,二极管在正向电流不变的情况下的正向电压 ,反向电流 。 A 、增大 B 、减小 C 、不变 4、如图所示复合管,已知V 1的1 = 30,V 2的 2 = 50,则复合后的 约为 ( )。 A .1500 .80 C 5、RC 串并联网络在f=f 0=1/2 RC 时呈 。 A 、感性 B 、阻性 C 、容性 三、判断题(10分)(对的打“√”,错的打“×”) 1、本征半导体温度升高后,两种载流子浓度还是相等。( ) 2、参数理想对称的双端输入双端输出差分放大电路只能放大差模信号,不能放大共模信号。( ) 3、放大电路采用复合管是为了增大放大倍数和输入电阻。( ) 4、负反馈越深,电路的性能越稳定。( ) 5、集成运放在开环情况下一定工作在非线性区。( ) 四、简答题:( 25分) 1.写出下图所示各电路的输出电压值,设二极管导通电压U D =。 V 2 V 1

2、判断下图所示电路中是否引入了反馈,如果有反馈试判断反馈类型 ¥+ -+R S u o R L u i R F (b) R 1 R 2 R 4 R 5 R 3 u i + -+v cc -+ V 1 V 2 u o C 1 +- 3、 电路下图所示:请将图中左右两部分正确连接起来,使之能够产生正弦波振荡 五、计算题(25分) 1、电路如下图所示,试求出电路A U 、R i 、和R 0的表达式。

西北工业大学-数字电子技术基础-实验报告-实验2

数字电子技术基础第二次实验报告 一、题目代码以及波形分析 1. 设计一款可综合的2选1多路选择器 ①编写模块源码 module multiplexer(x1,x2,s,f); input x1,x2,s; output f; assign f=(~s&x1)|(s&x2); endmodule ②测试模块 `timescale 1ns/1ps module tb_multiplexer; reg x1_test; reg x2_test; reg s_test; wire f_test; initial s_test=0;

always #80 s_test=~s_test; initial begin x1_test=0; x2_test=0; #20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; #20 x1_test=0; x2_test=0;

#20 x1_test=1; x2_test=0; #20 x1_test=0; x2_test=1; #20 x1_test=1; x2_test=1; end multiplexer UUT_multiplexer(.x1(x1_test),.x2(x2_test),.s(s_test),.f(f_test)); endmodule ③仿真后的波形截图

④对波形的分析 本例目的是令s为控制信号,实现二选一多路选择器。分析波形图可以知道,s为0时,f 输出x1信号;s为1时,f输出x2信号。所以实现了目标功能。 2. 设计一款可综合的2-4译码器 ①编写模块源码 module dec2to4(W,En,Y); input [1:0]W; input En; output reg [0:3]Y; always@(W,En) case({En,W}) 3'b100:Y=4'b1000; 3'b101:Y=4'b0100; 3'b110:Y=4'b0010;

《电子技术应用》期中考试试卷

一、 选择题(本题共5小题,每小题2分,共10分) 1、下列符号中表示发光二极管的为( )。 A B C D 2、硅管正偏导通时,其管压降约为( )。 A 0.1V B 0.3V C 0.5V D 0.7V 3、在三极管放大电路中,下列等式不正确的是( )。 A. C B E I I I += B. B C I I β= C. B E I I )1(β+= D. B E I I β= 4、当三极管的发射结和集电结都正偏时,工作于( )状态。 A. 放大 B. 截止 C. 饱和 D. 无法确定 5、NPN 型三极管要实现放大作用,c 、b 、e 三个电极的电位必须符合:( ) A. E B C U U U >> B. B E C U U U >> C. E B U U > D. B C U U > 二、填空题((本题共5小题,每空2分,共20分) 1、在本征半导体中掺入 元素得N 型半导体,掺入 元素则得 P 型半导体。 2、二极管P 区接电源 极,N 区接电源 极,称正向偏置,二极 管导通;反之,称反向偏置,二极管截止,所以二极管具有 性。 3、三极管电流放大作用的条件是:发射结加 电压,集电结 加 电压。 4、三极管电流放大系数β反映了放大电路中 极电流对 极电流的控制能力。 5、工作在放大区的一个三极管,如果基极电流从10微安变化到22微安 时,集电极电流从1毫安变为2.2毫安,则该三极管的β约为 。 三、计算题(本题共5小题,共70分) 1、二极管电路如图所示,判断图中二极管是导通还是截止,并确定各电 路的输出电压AB U 。(设二极管为硅管,导通压降为0.7V ) (15分) 2、已知三极管的发射极电流mA I E 24.3=,基极电流A I B μ40=,求集电极电流C I 的数值。 (10分)

数字电子技术基础实验

《数字电子技术基础实验》 实验报告 学院: 学号: 姓名: 专业: 实验时间: 实验地点: 2016年12月

Figure 5.51n位移位寄存器 一、实验目的及要求 编写testbench 验证Figure 5.51源代码功能,实现n位移位寄存器。 了解并熟悉移位寄存器的工作原理功能; 熟悉n位移位寄存器的逻辑功能。 所需功能:实现所需功能需要R,Clock,L,w,Q,5个变量,其中参数n 设为缺省值16,以定义触发器的个数。 当时钟信号Clock从0变为1时刻,正边沿触发器做出响应: 当L=0时,对输出结果Q进行向右移位,将w的值赋给Q的 最高位,实现移位; 当L=1时,将输入R的值寄存在Q中; 所需EDA工具及要求: Modelsim: 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测 试,观察仿真波形图并进行分析等; Synplify Pro: 1、使用Synplify Pro对Figure 5.51进行综合,得到RTL View、 Technology View、综合报表等,进行观察、分析等; 二、实验内容与步骤 1、在Modelsim中建立工程,编写Figure 5.51模块的源码; 本题实现的是一个n位移位寄存器,触发器对时钟信号Clock敏感,为正边沿敏感型。L实现对Q的控制,若L=1,则将R寄存到Q中;若L=0,则对Q向右移位。 如下图是一个4位移位寄存器 图表说明了该四位移位寄存器的移位过程

module shiftn (R, L, w, Clock, Q); parameter n = 16; input [n-1:0] R; input L, w, Clock; output reg [n-1:0] Q; integer k; always @(posedge Clock) if (L) Q <= R; else begin for (k = 0; k < n-1; k = k+1) Q[k] <= Q[k+1]; Q[n-1] <= w; end endmodule 这是可用于表示任意位宽的移位寄存器的代码,其中参数n设为缺省值16,以定义触发器的个数。R和Q的位宽用n定义,描述移位操作的else 分支语句用for循环语句实现,可适用于由任意多个触发器组成的移位操作。 2、编写Figure 5.51的测试模块源码,对Figure 5.51进行仿真、测试,观察仿真波形图并进行分析等; `timescale 1ns/1ns module shiftn_tb;

数字电子技术基础试题及答案 (1)

. 数字电子技术基础期末考试试卷 一、填空题 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 二、化简、证明、分析综合题: 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度 T ,振荡频率f 和占空比q 。 ………………………密……………………封…………………………装…………………订………………………线……………………… 系别 专业(班级) 姓名 学号

图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 图2 6.触发器电路就输入信号的波形如图3所示,试分别写出D 触发器的Q 和Q1的表达式,并画出其波形。 图3 ………………封…………………………装…………………订………………………线………………………

D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 三、设计题:(每10分,共20分) 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 2.试用74161、3-8译码器和少量门电路,实现图5所示波形VO1、VO2,其中CP为输入波形。要求: (1)列出计数器状态与V01、V02的真值表;

电力电子技术期末复习考卷综合

一、填空题: 1、电力电子技术的两个分支是电力电子器件制造技术和 变流技术 。 2、举例说明一个电力电子技术的应用实例 变频器、 调光台灯等 。 3、电力电子承担电能的变换或控制任务,主要为①交流变直流(AC —DC )、②直流变交流(DC —AC )、③直流变直流(DC —DC )、④交流变交流(AC —AC )四种。 4、为了减小电力电子器件本身的损耗提高效率,电力电子器件一般都工作在 开关状态,但是其自身的功率损耗(开通损耗、关断损耗)通常任远大于信息电子器件,在其工作是一般都需要安装 散热器 。 5、电力电子技术的一个重要特征是为避免功率损耗过大,电力电子器件总是工作在开关状态,其损耗包括 三个方面:通态损耗、断态损耗和 开关损耗 。 6、通常取晶闸管的断态重复峰值电压UDRM 和反向重复峰值电压URRM 中较 小 标值作为该器件的额电电压。选用时,额定电压要留有一定的裕量,一般取额定电压为正常工作时晶闸管所承受峰值电压的2~3倍。 7、只有当阳极电流小于 维持 电流时,晶闸管才会由导通转为截止。导通:正向电压、触发电流 (移相触发方式) 8、半控桥整流带大电感负载不加续流二极管电路中,电路可能会出现 失控 现象,为了避免单相桥式 半控整流电路的失控,可以在加入 续流二极管 来防止失控。 9、整流电路中,变压器的漏抗会产生换相重叠角,使整流输出的直流电压平均值 降低 。 10、从晶闸管开始承受正向阳极电压起到施加触发脉冲止的电角度称为 触发角 。 ☆从晶闸管导通到关断称为导通角。 ☆单相全控带电阻性负载触发角为180度 ☆三相全控带阻感性负载触发角为90度 11、单相全波可控整流电路中,晶闸管承受的最大反向电压为 2√2U1 。(电源相电压为U1) 三相半波可控整流电路中,晶闸管承受的最大反向电压为 。(电源相电压为U 2) 12、四种换流方式分别为 器件换流 、电网换流 、 负载换流 、 强迫换流 。 13、强迫换流需要设置附加的换流电路,给与欲关断的晶闸管强迫施加反压或反电流而关断。 14、直流—直流变流电路,包括 直接直流变流电路 电路和 间接直流变流电路 。(是否有交流环节) 15、直流斩波电路只能实现直流 电压大小 或者极性反转的作用。 ☆6种斩波电路:电压大小变换:降压斩波电路(buck 变换器)、升压斩波电路、 Cuk 斩波电路、Sepic 斩波电路、Zeta 斩波电路 升压斩波电路输出电压的计算公式 U= 1E β=1- ɑ 。 降压斩波电路输出电压计算公式: U=ɑE ɑ=占空比,E=电源电压 ☆直流斩波电路的三种控制方式是PWM 、 频率调制型 、 混合型 。 16、交流电力控制电路包括 交流调压电路 ,即在没半个周波内通过对晶闸管开通相位的控制,调节输出电压有效值的电路, 调功电路 即以交流电的周期为单位控制晶闸管的通断,改变通态周期数和断态周期数的比,调节输出功率平均值的电路, 交流电力电子开关即控制串入电路中晶闸管根据需要接通或断开的电路。

数字电子技术基础习题及答案

数字电子技术基础考题 一、填空题:(每空3分,共15分) 1.逻辑函数有四种表示方法,它们分别是(真值表)、(逻辑图)、(逻辑表达式)和(卡诺图)。 2.将2004个“1”异或起来得到的结果是(0 )。 3.由555定时器构成的三种电路中,()和()是脉冲的整形电路。4.TTL器件输入脚悬空相当于输入(高)电平。 5.基本逻辑运算有: (and )、(not )和(or )运算。 6.采用四位比较器对两个四位数比较时,先比较(最高)位。 7.触发器按动作特点可分为基本型、(同步型)、(主从型)和边沿型;8.如果要把一宽脉冲变换为窄脉冲应采用(积分型单稳态)触发器 9.目前我们所学的双极型集成电路和单极型集成电路的典型电路分别是(TTL )电路和(CMOS )电路。 10.施密特触发器有(2)个稳定状态.,多谐振荡器有(0 )个稳定状态。 11.数字系统按组成方式可分为功能扩展电路、功能综合电路两种;12.两二进制数相加时,不考虑低位的进位信号是(半)加器。 13.不仅考虑两个_______本位_____相加,而且还考虑来自___低位进位____相加的运算电路,称为全加器。 14.时序逻辑电路的输出不仅和___该时刻输入变量的取值______有关,而且还与_电路原来的状态_______有关。 15.计数器按CP脉冲的输入方式可分为__同步计数器和____异步计数器_。 16.触发器根据逻辑功能的不同,可分为_____rs______、______jk_____、___t________、___d________、___________等。 17.根据不同需要,在集成计数器芯片的基础上,通过采用__反馈归零法_________、__预置数法_________、__进位输出置最小数法__等方法可以实现任意进制的技术器。 18.4. 一个JK 触发器有 2 个稳态,它可存储 1 位二进制数。 19.若将一个正弦波电压信号转换成同一频率的矩形波,应采用多谐振荡器电路。20.把JK触发器改成T触发器的方法是 j=k=t 。 21.N个触发器组成的计数器最多可以组成2n 进制的计数器。 22.基本RS触发器的约束条件是rs=0 。

《电子技术》期中考试试卷

2012~2013年第二学期《电子技术》期中考试试卷 总分:100分时量:60分钟 班级:姓名:学号: 一、填空题(37×1分=37分) 1、能够将变成的电路称为整流电路,能够将变成比较平滑的的电路称为滤波电路。 2、PN结正偏时,P区接电源的极,N区接电源的极;PN 结反偏时,P区接电源的极,N区接电源的极。 3、硅二极管的正向压降约为V,锗二极管的正向压降约为V。 4、利用半导体二极管的特性,将变成的过程称做整流。 5、交流电经过变成脉动直流电后,仍有变化,滤波电路能够脉动直流电中的成分。 6、半导体三极管有两个PN结,即结和结;有三个电极,即极、 极和极,分别用、、和表示。 7、晶体管有型和型,前者的图形符号是,后者的图形符号是。 8、半导体三极管的输出特性曲线可分为三个区域,即区、区和区。 9、放大电路设置静态工作点的目的是。 10、在纯净的硅晶体中掺入三价元素,就成为型半导体,它的多数载流子量是,少数载流子是。 二、选择题(6×4分=21分) 1、在纯净半导体硅中,掺入微量的()价元素就成了N型半导体。 A. 三价 B. 四价 C. 五价 2、性能良好的二极管正向电阻()反向电阻。A. 大于 B. 等于 C. 小于 D. 不确定 3、稳压二级管的稳压性能是利用二极管的()特性实现的。 A. 单向导电 B.反向击穿 C.正向导通 D.反向截止 4、整流的目的是()。 A. 将高频变为低频 B. 将低频变为高频 C. 将正弦波变为方波 D. 将交流变为直流 5、整流电路后面接入滤波电路的目的是()。 A. 去除直流电中的脉动成份 B. 将高频变成低频 C. 将正弦交流信号变成矩形脉冲 D. 将直流电变成交流电 6、三极管的发射结正偏、集电路反偏时,三极管处于()。 A. 放大状态 B. 饱和状态 C.截止状态 三、判断题(5×2分=10分) 1、PN结正向偏置时导通,反向偏置时截止。() 2、在P型半导体中,多数载流子是电子。() 3、二极管加反向电压不一琯是导通状态。() 4、稳压二极管是工作在反向击穿状态。() 5、单相半波整流电路的特点是:电路简单、成本低,输出电压高、脉动小。() 四、综合题(14分+15分=29分) 1、试画出单相半波整流的电路图,并说明它的整流过程。 2、请画出共射放大电路的原理图,并写出静态工作点的计算公式。(I BQ、I CQ、V CEQ)

数字电子技术实验报告

实验一组合逻辑电路设计与分析 1.实验目的 (1)学会组合逻辑电路的特点; (2)利用逻辑转换仪对组合逻辑电路进行分析与设计。 2.实验原理 组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。 图1-1 组合逻辑电路的分析步骤 根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进 行设计。 图1-2 组合逻辑电路的设计步骤 3.实验电路及步骤 (1)利用逻辑转换仪对已知逻辑电路进行分析。 a.按图1-3所示连接电路。 b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出 简化表达式后,得到如图1-4所示结果。观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。因此这是一个四位输入信号的奇偶校验电路。

(2)根据要求利用逻辑转换仪进行逻辑电路的设计。 a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火 灾探测器。为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。 b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出 的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。 图1-4 经分析得到的真值表和表达式

数字电子技术基础试卷及答案套

数字电子技术基础1 一.1.(15分) 试根据图示输入信号波形分别画出各电路相应的输出信号波形L1、L2、L3、L4、和L5。设各触发器初态为“0”。 二.(15分) 已知由八选一数据选择器组成的逻辑电路如下所示。试按步骤分析该电路在M1、M2取不同值时(M1、M2取值情况如下表所示)输出F的逻辑表达式。 八选一数据选择器输出端逻辑表达式为:Y=Σm i D i,其中m i是S2S1S0最小项。 三.(8分) 试按步骤设计一个组合逻辑电路,实现语句“A>B”,A、B均为两位二进制数,即A (A1、A0),B(B1、B0)。要求用三个3输入端与门和一个或门实现。 四.(12分) 试按步骤用74LS138和门电路产生如下多输出逻辑函数。 74LS138逻辑表达式和逻辑符号如下所示。 五.(15分) 已知同步计数器的时序波形如下图所示。试用维持-阻塞型D触发器实现该计数器。要求按步骤设计。 六.(18分) 按步骤完成下列两题 1.分析图5-1所示电路的逻辑功能:写出驱动方程,列出状态转换表,画出完全状态转换图和时序波形,说明电路能否自启动。 2.分析图5-2所示的计数器在M=0和M=1时各为几进制计数器,并画出状态转换图。 图5-1

图5-2 七. 八.(10分) 电路下如图所示,按要求完成下列问题。 1.指出虚线框T1中所示电路名称. 2.对应画出V C 、V 01、A 、B 、C 的波形。并计算出V 01波形的周期T=?。 数字电子技术基础2 一.(20分)电路如图所示,晶体管的β=100,Vbe=0.7v 。 (1)求电路的静态工作点; (2) 画出微变等效电路图, 求Au 、r i 和r o ; (3)若电容Ce 开路,则将引起电路的哪些动态参数发生变化?并定性说明变化趋势. 二.(15分)求图示电路中a U 、b U 、b U 、c U 及L I 。 三.(8分)逻辑单元电路符号和具有“0”、“1”逻辑电平输入信号X 1如下图所示,试分别画出各单元电路相应的电压输出信号波形Y 1、Y 2、Y 3。设各触发器初始状态为“0”态。 四.(8分)判断下面电路中的极间交流反馈的极性(要求在图上标出瞬时极性符号)。如为负反馈,则进一步指明反馈的组态。 (a ) (b )

数字电子技术基础试卷及答案

数字电子技术基础试题(一) 一、填空题 : (每空1分,共10分) 1. (30.25) 10 = ( ) 2 = ( ) 16 。 2 . 逻辑函数L = + A+ B+ C +D = 。 3 . 三态门输出的三种状态分别为:、和。 4 . 主从型JK触发器的特性方程= 。 5 . 用4个触发器可以存储位二进制数。 6 . 存储容量为4K×8位的RAM存储器,其地址线为条、数据线为条。 二、选择题: (选择一个正确的答案填入括号内,每题3分,共30分 ) 1.设下图中所有触发器的初始状态皆为0,找出图中触发器在时钟信号作用下,输出电压波形恒为0的是:()图。 2.下列几种TTL电路中,输出端可实现线与功能的电路是()。 A、或非门 B、与非门 C、异或门 D、OC门 3.对CMOS与非门电路,其多余输入端正确的处理方法是()。

A、通过大电阻接地(>1.5KΩ) B、悬空 C、通过小电阻接地(<1KΩ) D、通过电阻接V CC 4.图2所示电路为由555定时器构成的()。 A、施密特触发器 B、多谐振荡器 C、单稳态触发器 D、T触发器 5.请判断以下哪个电路不是时序逻辑电路()。 A、计数器 B、寄存器 C、译码器 D、触发器 6.下列几种A/D转换器中,转换速度最快的是()。 A、并行A/D转换器 B、计数型A/D转换器 C、逐次渐进型A/D转换器 B、 D、双积分A/D转换器 7.某电路的输入波形 u I 和输出波形 u O 如下图所示,则该电路为()。 A、施密特触发器 B、反相器 C、单稳态触发器 D、JK触发器 8.要将方波脉冲的周期扩展10倍,可采用()。 A、10级施密特触发器 B、10位二进制计数器 C、十进制计数器 B、D、10位D/A转换器 9、已知逻辑函数与其相等的函数为()。 A、 B、 C、 D、 10、一个数据选择器的地址输入端有3个时,最多可以有()个数据信号输出。 A、4 B、6 C、8 D、16 三、逻辑函数化简(每题5分,共10分) 1、用代数法化简为最简与或式

电工电子技术期末考试试题及答案

专业班级____________ 考生姓名:____________ 学号_______ 一.选择(20分、2分/题) 1.变压器降压使用时,能输出较大的____b_____。 A、功率 B、电流 C、电能 D、电功 2.三相异步电动机旋转磁场的旋转方向是由三相电源的 ________b_决定。 A、相位 B、相序 C、频率 D、相位角 3.电气控制线路原理图中,触头的位置是处于______a___。 A、未通电状态 B、通电状态 C、根据情况确定状 态 4.为保证机床操作者的安全,机床照明灯的电压应选 ____d_____。 A、380V B、220V C、110V D、36V以下 5.关于提高功率因数的说法,正确的是( c ) A.在感性负载上并联电感可以提高功率因数

B.在感性负载上并联电容可以降低功率因数 C.在感性负载上并联电容可以提高功率因数 6.乙类互补对称式功放电路,其输出波形的交越失真是指( c )。 A.频率失真 B、相位失真 C、波形过零时出现的失真 D、幅度失真 7.稳压管的动态电阻( b )稳压性能越好。 A、越大 B、越小 C、较合适 D、不一定 8.运算放大器电路如图所示,该电路中反馈类型为( )。a (A) 串联电压负反馈(B) 串联电流负反馈 (C) 并联电压负反馈(D) 并联电流负反馈 -+∞ + u O u i 9.单稳态触发器的输出状态有( a) A、一个稳态、一个暂态 B、两个稳态 C、只有一个稳态 D、没有稳态 10.一个8选1多路选择器,输入地址有 c 。 A、2位 B、3位 C、4位 D、8位 二、计算题(70分) 1.已知图5所示电路中U S1=24V,U S2 =6V,R 1 =12Ω,R 2 =6 Ω,R 3=2Ω,试用戴维宁定理求流过电阻R 3 中的电流I 3 。(10分) a I

数字电子技术基础试题及答案

D C B A D C A B ++《数字电子技术》试卷 姓名:__ _______ 班级:__________ 考号:___________ 成绩:____________ 1.?有一数码10010011,作为自然二进制数时,它相当于十进制数(147),作为8421BCD 码时,它相当于十进制数(93 )。 2.三态门电路的输出有高电平、低电平和(高阻)3种状态。 3.TTL 与非门多余的输入端应接(高电平或悬空)。 4.TTL 集成JK 触发器正常工作时,其d R 和d S 端应接(高)电平。 5. 已知某函数?? ? ??+??? ??++=D C AB D C A B F ,该函数的反函数F = ( )。 6. 如果对键盘上108个符号进行二进制编码,则至少要( 7)位二进制数码。 7. 典型的TTL 与非门电路使用的电路为电源电压为(5 )V ,其输出高电平为(3.6)V ,输出低电平为(0.35)V , CMOS 电路的电源电压为( 3--18) V 。 8.74LS138是3线—8线译码器,译码为输出低电平有效,若输入为A 2A 1A 0=110时,输出 01234567Y Y Y Y Y Y Y Y 应为( )。 9.将一个包含有32768个基本存储单元的存储电路设计16位为一个字节的ROM 。该ROM 有( 11)根地址线,有(16)根数据读出线。 10. 两片中规模集成电路10进制计数器串联后,最大计数容量为( 100)位。 11. =(AB )。 12. 13 二、分) 1.?函数 A .F(A,B,C)=∑m (0,2,4) B. (A,B,C)=∑m (3,5,6,7) C .F(A,B,C)=∑m (0,2,3,4) D. F(A,B,C)=∑m (2,4,6,7) 2.8线—3线优先编码器的输入为I 0—I 7 ,当优先级别最高的I 7有效时,其输出012Y Y Y ??的值是( C )。 A .111 B. 010 C. 000 D. 101 3.十六路数据选择器的地址输入(选择控制)端有( C )个。 A .16 B.2 C.4 D.8

电子技术期末考试试卷及答案

电子技术期末考试试卷及答案 课程 电子技术 授课教师 考试时刻 考试班级 姓名 学号 题号 一 二 三 四 总 分 得分 1、稳压管的稳压性能是利用PN 结的( )。 A 、单向导电特性 B 、正向导电特性 C 、反向击穿特性 2、电路如图1所示,A 点与B 点的电位差U AB 约等于( )。 A 、0.3V B 、-2.3V C 、1.3V 100k Ω 100k Ω 18k Ω 6k Ω 2AP 15 12V A B (图1) (图2) 3、工作在放大区的某三极管,假如当I B 从12μA 增大到22μA 时,I C 从1mA 变为2mA ,那么它的β值约为( )。 A 、 83 B 、 91 C 、100 4、图2所示电路,已知晶体管β=60,R C k =2 Ω,忽略U BE ,如要将集电极电流I C 调整到1.5mA ,R B 应取( )。 A 、480k Ω B 、120k Ω C 、240k Ω 5、固定偏置单管交流放大电路的静态工作点Q 如图3所示,当温度升高时,工作点Q 将( )。 A 、不改变 B 、向Q′移动 C 、向Q″移动 (图3) (图4) 6、集成运算放大器输入级选用差动放大电路的要紧缘故是( )。 A 、克服零漂 B 、提高输入电阻 C 、稳固输入 7、运算放大器电路如图4所示,R L 为负载电阻,则R F2引入的反馈为( )。 A 、串联电流负反馈 B 、并联电流负反馈 C 、串联电压负反馈 8、关于反馈对放大电路输入电阻Ri 的阻碍,正确的是( ) A 、负反馈增大Ri ,正反馈减小Ri B 、串联反馈增大Ri ,并联反馈减少Ri C 、串联负反馈增大Ri ,并联负反馈减少Ri 9、由开关组成的逻辑电路如图5所示,设开关A 、B 接通为“1”,断开为“0”,电灯亮为“1”,电 灯暗为“0”,则该电路表示的逻辑关系是( )。 A 、“与”门 B 、“或”门 C 、“非”门 (图5) (图6) 10、三位二进制译码器应有 个输入量,应有 个输出量( )。 A 、6 3 B 、8 3 C 、3 8 11、图6所示逻辑电路的逻辑式为( ) A.、F=C B A ++ B.、F=C B A C 、 F=ABC ++-∞R 2 R F 2R 1 R L R F1 i L u I ++C 2 C 1 R B R C u u i + - + - +12V

数字电子技术基础试题及答案(一)

数字电子技术基础期末考试试卷 1. 时序逻辑电路一般由 和 两分组成。 2. 十进制数(56)10转换为二进制数为 和十六进制数为 。 3. 串行进位加法器的缺点是 ,想速度高时应采用 加法器。 4. 多谐振荡器是一种波形 电路,它没有稳态,只有两个 。 5. 用6个D 触发器设计一个计数器,则该计数器的最大模值M= 。 1.写出函数F (A,B,C,D) =A B C D E ++++的反函数。 =F 2.证明逻辑函数式相等:()()BC D D B C AD B B D ++++=+ 3.已知逻辑函数F= ∑(3,5,8,9,10,12)+∑d(0,1,2) (1)化简该函数为最简与或式: (2)画出用两级与非门实现的最简与或式电路图: 4.555定时器构成的多谐振动器图1所示,已知R 1=1K Ω,R 2=8.2K Ω,C=0.1μF 。试求脉冲宽度T ,振荡频率f 和占空比q 。 图1 5.某地址译码电路如图2所示,当输入地址变量A7-A0的状态分别为什么状态 时,1Y 、6Y 分别才为低电平(被译中)。 …… …… … … …… …密 … … …… … … … … 封 …… … … … … … … … … 装 … … … … … … … 订 … … … … … … … … … 线 … … … … … … … … … 学院 专业 (班级) 姓名 学号 …… … … … … 线 …

6.触发器电路就输入信号的波形如图3所示,试分别写出D触发器的Q和Q1的表达式,并画出其波形。 图 D= Q n+1= Q1= 7. 已知电路如图4所示,试写出: ①驱动方程; ②状态方程; ③输出方程; ④状态表; ⑤电路功能。图4 1.设计一个三变量偶检验逻辑电路。当三变量A、B、C输入组合中的“1”的个数为偶数时F=1,否则F=0。选用8选1数选器或门电路实现该逻辑电路。 要求: (1)列出该电路F(A,B,C)的真值表和表达式; (2)画出逻辑电路图。 A B C F

电工电子技术期末考试试题及答案

这份考卷不错,可以参考 电工电子技术基础期末考试试题 学号:姓名: 一、单项选择。(每题3分,计45分) 1、电荷的基本单位是()。 A.安秒 B.安培 C.库仑 D.千克 2、电路主要由负载、线路、电源、()组成。 A.变压器 B.开关 C.发电机 D.仪表 3、正弦交流电的有效值等核最大值的()。 A.1/3 B. 1/2 C. 2 D. 0.7 4、我国交流电的频率为50Hz,其周期为()秒。 A. 0.01 B.0.02 C.0.1 D.0.212 5、为了提高感性负载电路的功率因数,通常采用的方法有() A?串联电感B ?串联电容C ?并联电感D ?并联电容 6在三相交流电路中,当负载为对称且三角型连接时,线电流与相电流的相位关系是()。 A.线电压超前相电压300 B ?线电压滞后相电压300 C.线电流超前相电流300 D?线电流滞后相电流300 7、在纯电感电路中,没有能量消耗,只有能量()。 A.变化 B.增强 C.交换 D.补充 8、[10011100]2 = ()10

A. 128 B . 156 C. 256 D . 512 A.放大特性B .稳压特性C .单向导电性D .以上三种 10、三极管作放大管时一般工作在()。 A.放大区B .截止区C .饱和区D .反向击穿区 11、单相变压器原、副边绕组的额定电压分别为U1N=220V U1N=110V当原绕组的额定电流为9A时,副绕组的额定电流为()。 A. 18A B. 4.5A C . 2.25A D . 12A 12、电器铭牌上标注的功率均是() A.有功功率 B.无功功率 C.视在功率 D.瞬时功率 13、晶闸管具有()PN结。 A. 4个 B. 3个 C. 2个D . 5个 14、组合逻辑电路在电路结构上只包含( )。 A.各种门电路 B.各种触发器 C.反馈电路 D.放大电路 15、有三输入端的与非门当输出端状态为0时,三个输入端状态应为() A.000 B.111 C.010 D.100 二、填空。(每空1分,共20分) 1、电源的状态主要有三种_________ 、__________ 、 ____________ 。 2、电容的特性是___________ 、 _________ 、 ________ 、_____________ 。 3、在交流电中,电流、电压随时间按_____________ 变化的,称为正弦交流电。 4、正弦交流电的三要素是指_________ 、_________ 、___________ 。 5、设u=311sin314t伏,则此电压的最大值为_____________ ,有效值 为 ___________ ,频率为_______________ 。

相关文档
最新文档