直流数字电压表分析

直流数字电压表分析
直流数字电压表分析

电子技术基础

课程设计

题目名称:直流数字电压表

姓名:徐兆

学号:20124056

班级:12级电气5班

指导教师:唐治德

评语:

成绩:

重庆大学电气工程学院

2014年6月

摘要

传统的模拟指针式电压表功能单一,精度低,读数的时候也非常不方便,很容易出错。而采用模数转换器的数字电压表由于测量精度高,速度快,读数时也非常的方便,抗干扰能力强等优点而被广泛应用。数字电压表(Digital V oltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。

本文主要介绍的是基于ICL7107数字电压表的设计,ICL7107是目前广泛应用于数字测量系统是一种集三位半转换器段驱动器位驱动器于一体的大规模集成电路,能够直接驱动共阳极数字显示器,构成的数字电压具有以下几个特点:显示数据直观;读数准确;准确度高;分辨率高;测量范围宽。

本次设计的直流数字电压表的能实现多量程的电压测量:最高量程为200V,分三个档位量程,即0~1.999V,0~19.99V,0~199.9V。量程的改变可以通过调档开关控制分压和小数点移位来实现。

目录

摘要........................................................................................................................ I 1设计目的与要求 (1)

1.1设计目的 (1)

1.2设计要求 (1)

2设计思路 (1)

2.1设计方案 (1)

2.2系统框图 (1)

3单元电路的设计方案及原理 (2)

3.1ICL7107的工作原理 (2)

3.1.1ICL7107的工作过程 (2)

3.1.2ICL7107的电路原理图及引脚图 (5)

3.2电源接入端的电路 (7)

3.2.1基准电压 (7)

3.2.2量程转换电路 (7)

3.3数码管的显示电路 (8)

3.3.1LED简介 (8)

3.3.2显示电路 (9)

3.4小数点的驱动电路 (9)

4电路仿真图与实物图 (10)

4.1仿真图 (10)

4.2实物图 (11)

5系统调试与结果分析 (11)

5.1调试仪器 (11)

5.2调试方法 (12)

5.3测试结果 (13)

5.4测试分析 (13)

6实现中出现的问题 (13)

7总结及心得体会 (14)

8元器件清单 (15)

9参考文献 (15)

1设计目的与要求

1.1设计目的

1)掌握双积分A/D转换的工作原理和集成双积分A/D转换器件的设计方法。2)掌握常用数字集成电路的功能和使用。

3)熟悉A/D转换器ICL7107的性能及其引脚功。

4)掌握用ICL7107构成直流数字电压表的方法。

1.2设计要求

1) 设计直流数字电压表。

2) 直流电压测量范围:

0V~1.999V,0V~19.99V,0V~199.9V,0V~1999V。

3) 直流输入电阻大于100kΩ。

4) 画出完整的设计电路图,写出总结报告。

5) 电压表可以实现手动转换量程。

2设计思路

2.1设计方案

1.主要器件由芯片ICL7107和共阳极半导体数码管LED组成。

2.本方案的主要特点是:

(1)能直接驱动共阳极的LED显示器,不需要另加驱动器件,使整机线路简化。

(2)采用+5V和—5V两组电源供电。

(3)LED属于电流控制器件,在3 1/2位数字仪表中采用直流驱动方式,芯片本身功耗较小。

(4)显示亮度较高。

2.2系统框图

本文的电压表是一个31/2位半直流电压测量的数字式电压表。测量范围为

直流0到1.99V,0到19.99V,0到1999V,功3个量程。电压值显示稳定,读数方便,能测量正负电压和自动切换量程,使用方便,本系统可分为测试电压转换、模拟电压通道、数据电压通道、数码显示、小数点驱动电路5部分。

图2-1直流数字电压表设计框图

3单元电路的设计方案及原理

3.1ICL7107的工作原理

3.1.1ICL7107的工作过程

ICL7107 是双积型的A/D 转换器,还集成了A/D 转换器的模拟部分电路,如缓冲器、积分器、电压比较器、正负电压参考源和模拟开关,以及数字电路部分如振荡源、计数器、锁存器、译码器、驱动器和控制逻辑电路等,使用时只需外接少量的电阻、电容元件和显示器件,就可以完成模拟到数字量的转换,从而满足设计要求。显示稳定可读和测量反应速度快,是本设计的关键。

双积分模数转换器(ADC)是间接型ADC。它将取样电压转换为与之成正比的时间宽度,在此期间允许计数器对周期脉冲进行计数。计数器的二进制数就是取样电压对应的数字量。

图3-1是双积分ADC的电路原理图。电路主要由积分器、比较器、计数器、JK触发器和控制开关组成。由JK触发器的输出QS控制单刀双置开关选择积分器的输入电压。当QS=0时,积分器对取样电压做定时积分;当QS=1时,积

分器对基准电压-VREF 做定压积分。 与-VREF 电压极性相反,这里设取样电压 为正,则-VREF 为负。

图 3-1双积分ADC 的电路原理图

1.定时积分

在确定的时间内对取样电压进行积分即是定时积分。

启动信号S 输入负窄脉冲(S=0),使计数器、JK 触发器Q S 清零,开关S 1选择取样电压作积分器输入。同时开关S 2闭合,使积分电容放电,O v =0。负脉冲消失后(S=1),开关S 2断开,积分器对取样电压做积分,积分器输出电压下降,0

取启动信号S 的负脉冲刚消失的时刻为时间零点,并设时钟脉冲CP 的周期为T CP 。则对取样电压的积分时间T 1为

T 1=2n T CP

是确定不变的。积分器输出电压为

?-=+-=t

S I O S I O t RC nT v v d nT v RC t v 0)()0()(1)(τ 积分器输出电压与时间成线性关系,其斜率是负的,与取样电压)(S I nT v 和积分器的时间常数RC 有关。)(S I nT v 越大,负斜率也越大。定时积分的工作波形

如图 3-2所示,图中绘出了2个取样电压的情况。定时积分结束时的积分器输出电

)(2)()(11S I CP n S I O nT v RC

T T RC nT v T v -=-= 与取样电压成正比。

图 3-3双积分ADC 工作波形

2.定压积分

在定时积分期间,当计数器的进位C=1时,下一个CP 脉冲使计数器复零和JK 触发器Q S =1,开关S 1选择基准电压-V REF ,积分器开始对基准电压-V REF 做定压积分。由于比较器输出逻辑1,计数器从0继续计数。与此同时,积分器输出电压上升

)(2)()()(1)(111S I CP n t

T REF O REF O nT v RC T T t RC V T v d V RC t v --=+--=?τ 积分器输出电压同样与时间成线性关系,其斜率是正常数,与基准电压V REF 和积分器的时间常数RC 有关。定压积分的工作波形如图11.3.9所示。当0)(>t v O 时,比较器输出逻辑0,计数器停止计数,并保持计数结果B Z (通常为自然二进制数)。从定压积分开始到计数器刚停止计数(0)(=t v O )的时间T 2为

CP Z T B T =2

并且,在计数器停止计数时刻,积分器输出电压为0,即

0)(2)(221=-=+S I CP n REF O nT v RC

T T RC V T T v 所以

)(22S I REF

CP n nT v V T T = 定压积分时间T 2与取样电压成正比。在此期间,计数器从0开始对周期脉冲CP 计数,直到停止并保持计数值B Z 。所以

)(22S I REF

n

CP Z nT v V T T B == 计数器的二进制数与取样电压成正比,是取样电压对应的数字量。实际上CP 脉冲可能与比较器的边沿不同步,导致计数器可能漏计或多计一个脉冲。故上式应修正为

)(21S I REF

n

Z nT v V B =± 双积分ADC 的单位模拟电压LSB 为

n

REF V LSB 2= 3.1.2 ICL7107的电路原理图及引脚图

图 3-4双积分模数转换的电路原理

ICL7107实现双积分模数转换的电路原理如图 3-4所示。模数转换过程分3个阶段。自动0校准(保证积分器输出为零),定时积分(信号积分),定压积分

(反向积分)。

集成双积分模数转换器ICL7107的引脚如图3-5所示。器件的输入电压范围是0V~Vref,Vref是基准电压(2V),从IN HI 和IN LO引脚输入测试电压。输出数字量直接驱动4个共阳极LED数码管。千位数码管段信号:AB4和负POL。百位数码管段信号:A3~G3。十位数码管段信号:A2~G2。个位数码管段信号:A1~G1。ICL7107芯片的引脚图如图3所示,它与外围器件的连接图如4所示。图4中它和数码管相连的脚以及电源脚是固定的,所以不加详述。芯片的第32脚为模拟公共端,称为COM端;第34脚Vr+和35脚Vr-为参考电压正负输入端;第31脚IN+和30脚IN-为测量电压正负输入端;Cint和Rint分别为积分电容和积分电阻,Caz为自动调零电容,它们与芯片的27、28和29相连,电阻R1和C1与芯片内部电路组合提供时钟脉冲振荡源,从40脚可以用示波器测量出该振荡波形,该脚对应实验仪上示波器接口CLK,时钟频率的快慢决定了芯片的转换时间(因为测量周期总保持4000个Tcp不变)以及测量的精度。

图3-5 ICL7107 直流电压表

图3-6数字和逻辑控制

3.2电源接入端的电路

3.2.1基准电压

图3-7基准电压的原理图

如图3-7所示,将R1与滑动变阻器串联,连接电源5V和36脚。将36脚置于滑动器,调节分压电压。第一档为0-1.99V,所以将基准电压设置为2V,也就是调节滑动变阻器,使分压电压为2V。

3.2.2量程转换电路

图3-3量程转换的电路原理图

如图3-4所示,直流输入电阻大于100kΩ,基准电压为2V,又因为量程有0-1.999V,0-19.99V和0-199.9V,所以选择总电阻为1000KΩ,列方程:R4+R6+R7=1000,

R7/( R4+R6+R7)=0.01

(R6+R7)/ (R4+R6+R7)=0.1

解得R4=900KΩ,R6=90KΩ.R7=10KΩ.所以经过如图所示电路,从上到下,第一档为0-1.999V,第二档为0-19.99V,第三档为0-199.9V。

然后将量程转换器的两端如图所接,负端接30脚。开关一端经1MΩ的保护电阻后接入31脚。

3.3数码管的显示电路

3.3.1LED简介

LED数码管(LED Segment Displays)由多个发光二极管封装在一起组成“8”字型的器件,引线已在内部连接完成,只需引出它们的各个笔划,公共电极。数码管实际上是由七个发光管组成8字形构成的,加上小数点就是8个。这些段分别由字母a,b,c,d,e,f,g,dp来表示。当数码管特定的段加上电压后,这些特定的段就会发亮,以形成我们眼睛看到的字样了。如:显示一个“2”字,那么应当是a 亮b亮g亮e亮d亮f不亮c不亮dp不亮。LED数码管有一般亮和超亮等不同之分,也有0.5寸、1寸等不同的尺寸。小尺寸数码管的显示笔画常用一个发光二极管组成,而大尺寸的数码管由二个或多个发光二极管组成,一般情况下,单个发光二极管的管压降为1.8V左右,电流不超过30mA。发光二极管的阳极连接到一起连接到电源正极的称为共阳数码管,发光二极管的阴极连接到一起连接到电源负极的称为共阴数码管。常用LED数码管显示的数字和字符是0、1、2、3、4、5、6、7、8、9、A、B、C、D、E、F。

七段数码管分为共阳极及共阴极,共阳极的七段数码管的正极(或阳极)为八个发光二极管的共有正极,其他接点为独立发光二极管的负极(或阴极),使用者只需把正极接电,不同的负极接地就能控制七段数码管显示不同的数字。共阴极的七段数码管与共阳极的只是接驳方法相反而已。如图所示:

3.3.2显示电路

图3-9显示电路的原理图

由于所选择芯片为TC7107,输出为低电平有效,所以选择共阳极数码显示管。最高位由于只显示0或者1,所以不用全部接完,将2,3脚连接一起共同接入7107的19脚。然后将其他3位数码管如图所示接入。将电源级共同连在一起接入VCC。组成显示电路。

3.4小数点的驱动电路

由于所选数码管为共阳极数码管,输入低电平有效,用开关控制小数点的位置。0-1.999V量程小数点接入从上往下第一档,0-19.99V小数点接入第二档,0-199.9接入第三档。悬空时,相当于高电平,不亮。接通开关时,接地,相当于低电平,发亮。

图3-5小数点控制原理图

4电路仿真图与实物图

4.1仿真图

本设计采用集成芯片ICL7107作为数字电压表的A/D转换及锁存和译码模块,使得电路具有设计简单、集成度及可靠性高的特点。该系统能够实现0~199.9V 量程电压值的测量。电路连接图与仿真图如下图所示。

图4-1ICL7107作为数字电压表的仿真图

4.2实物图

图4-2电路板正面图

图4-3电路板背面图

5系统调试与结果分析5.1调试仪器

可调直流电源,可调范围:0 ~5V;

万用表,精度:0.1mV。

5.2调试方法

1、直流电压表调试步骤。

(1) 插好芯片TC7107,接图接好全部线路。

(2) 将输入端接地,接通+5V,-5V电源(先接好地线),将开关分别置于第一档,此时显示器将不显示值,且小数点在第一位数码显数器右下角。如果不是,应检测电源正负电压。并用欧姆表测短路与否。

(3) 用电阻、电位器构成一个简单的输入电压VX 调节电路,调节电位器,4位数码将相应变化,然后进入下一步精调。

(4) 用标准数字电压表(或用数字万用表代)测量输入电压,调节电位器,使VX=1.000V,这时被调电路的电压指示值不一定显示“1.000”,应调整基准电压源,使指示值与标准电压表误差个位数在5之内。

(5) 在+1.999V~0~-1.999V量程内再一次仔细调整(调基准电源电压)使全部量程内的误差均不超过个位数在5之内。

至此一个测量范围在±1.999的三位半数字直流电压表调试成功。

2、记录输入电压为0.5v,1.0v,1.5v,2.0v,5.0v,10.0v,15.0v,20.0v,10.0v,15.0v,20.0v,25.0v时(标准数字电压表的读数)被调数字电压表的显示值,列表记录之。

3、连接好量程选择电路,用电压表测试经过衰减后的电压的比例关系是否为100:10:1。拨动量程开关到20V,输入电压为2~20V时,观察输出电压的数值。

4、准确度是测量结果中系统误差与随机误差的综合。它表示测量结果与真值的一致程度,也反映了测量误差的大小,准确度愈高,测量误差愈小。测量的绝对误差有两种表达式:

△U=±(a%Ux+b%UM)(1)

△U=±(a%Ux+n)(2)

式(1)中,Ux为读数值(即显示值),UM表示满度值。括号中前一项代表A/D转换器和功能转换器(例如分压器)的综合误差,后一项是数字化处理所带来的误差。

式(2)中,n是量化误差反映在末位数字上的变化量。若把n个字的误差折合成满量程的百分数,则变成式(1)。可见上述二式是完全等价的。数字电压表的准确度远优于模拟式电压表。例如,3?位、4?位DVM的准确度分别可达±0.1%、±0.02%。

5.3测试结果

5.4测试分析

由上图可知我自己做的电压表测的电压不是很准确,通过计算和测量发现有一下原因:

1)在量程选档的3个电阻值并不是完全为900K,90K,10K,其用到的电阻的测量值为920K,91K和10.2K;通过对比发现电阻值整体取的较高,所以所测量的电压相对与标准电压值要小。

2)标准电压的校准时用万用表测的ICL7107的35和36号管脚的电压不为标准的2v。

3)通过观察200v的档位误差最大,分析发现ICL7107在将模拟电压转换为数字信号时,是通过双积分的方法,所以在转换过程中有相应的精度,所以量程越大测的电压误差也越大。

6实现中出现的问题

(1)辨认引脚:芯片的第一脚,是正放芯片,面对型号字符,然后在芯片的坐下方为第一脚。

(2)牢记关键点的电压:芯片第一脚是供电,正确电压为DC5V。第36脚为基准电压,正确数值为100mV,第26引脚为负电源引脚,正确电源数值是负的,在-3V至-5V都认为正常,但是不能是正电压,也不能是零电压。

(3)注意芯片27,28,29引脚的元件数值,它们是0.22uF,74K,0.47uF阻容网络,这三个芯片属于芯片工作的积分网络,不能使用磁片电容。芯片的33和34脚接的104电容也不能使用磁片电容。

(4)注意接地引脚:芯片的电源地是21脚,模拟地是30脚,信号地30脚,基准地是35脚,这4个脚是解地。

(5)负电压产生电路:负电压电源可以从电路外部直接使用7905等芯片来提供,但是要求供电需要正负电源,通常利用一个-5V供电就可以解决问题。

7总结及心得体会

本次设计通过与指导老师的多次讨论,最终设计出了基于ICL7107芯片的直流数字电压表,成功完成了仿真,在仿真软件中通过多次调试,从而达到了比较准确的程度。虽然说这次设计的总体思路比较简单,但是其中还是有一些细节的问题困扰了我们很久。第一个问题就是如何实现小数点的移位。最先我们企图运用一个开关同时实现分压和小数点移位,但是由于输入电压不能接地而失败。但其实只需要将控制分压的开关和控制小数点移位的开关分开即可,实际电路中能通过同轴开关来实现两个开关的共同转动。第二个问题便是如何获得标准的基准电压。我们最先设想的是直接接一个标准的2V电源,与老师的讨论中,我们发现这样做不符合节约的原理,直接运用电阻分5V的电压源便可获得2V的电压。第三个问题便是接地问题。ICL7107芯片的接地分为模拟地和数字地,而我们最开始将两者混在一起,导致电路不能运行。在仿真这个环节中,我感悟到仿真其实也是需要结合实际的,这样才能设计出实用和经济的电路。在仿真之前,我们也需要准备充分的知识,这样在仿真的过程中才不会犯低级错误。

在焊电路板这个环节中,我们最先不太顺利。因为我们很晚才设计出电路图,因此只能用上一届的同学用过的原件焊电路。经过测试,我们发现很多的电阻都是坏的,并且我们所需的共阳极数码管却被共阴极数码管代替。但在我们这一组是所有同学的努力下,最终通过各种方法找到了元件,或是用各种方法代替元件,终于焊出来比较理想的电路板。抛开客观原因,其实最主要的原因是因为我们在仿真上花了太多的时间,以至于我们没有足够的时间来准备焊电路的各种元件在完成了仿真及焊电路板之后,我还完成了本组的论文的撰写及排版。虽然论文涉及文字上的功夫,但其中也需要我们用心去探究设计的深层次理论,我们也花了不少功夫去专研。论文也需要清晰的条理,因此,在写论文的过程当中,我对本次设计不断有新的理解,写完论文后,我对本次电子设计有了更全面,更清晰的认识。

通过本次电子设计课,我了解了一般数字电路综合设计的过程,设计要求以及应完成的工作内容和具体的设计方法。通过设计也有助于复习、巩固以往对数字电子技术的学习,并达到灵活运用的目的。在设计完成后还要将设计的电路安装,调试以加强动手能力,在此过程中培养从事设计工作的整体观念。在设计中,对芯片ICL7107的掌握感觉比较难,还需要继续学习。不管结果怎么样,我享受的是做事的过程,和团队的合作。在不懂的时候和同学讨论或咨询唐老师,通过唐老师的提示和讲解才逐渐懂得如何运用。与此同时明白了要多花时间去熟悉理论知识,多参考一些相关的文献让自己的思维发展,并且应该加强自己的动手能力。

8元器件清单

9参考文献

1)《中国集成电路大全》编写委员会编,中国集成电路大全TTL集成电路。北

京:国防工业出版社,1985。

2)【美】D.H.Sheinggold编著,模数转换技术,南京:江苏科学出版社,1982.

3)彭介华编著,电子技术课程设计指导,北京:高等教育出版社, 1996 年。

4)高吉祥主编,电子技术基础实验与课程设计,北京:电子工业出版社,2005

年。

5)阎石. 数字电子技术基础[M]. 北京: 高等教育出版社, 1998.

6)康华光. 电子技术基础[M]. 北京: 高等教育出版社, 1998.

7)古天祥,王厚军. 电子测量原理[M]. 北京: 机械工业出版社, 2004.

直流数字电压表课程设计报告设计

电子技术基础 课程设计 题目名称:直流数字电压表 指导教师:唐治德 学生班级: 学号: 学生姓名: 评语: 成绩: 重庆大学电气工程学院 2015年7月3日

目录一、内容摘要 二.课程设计任务与要求 2.1设计目的 2.2设计求 三.设计思路和方案选择 3.1 设计思路 3.2 方案选择 四.工作原理 4.1 基本原理框图 4.2 ICL7107的工作原理 4.3原理图 五.电路设计与仿真 六、系统调试与结果分析 6.1调试方法 6.2测试结果分析 六.元器件清单 八、总结及心得体会 九、参考文献

内容摘要 伴随着电子技术科学的发展,电子测量技术已成为广大电子技术工作者必须掌握的一门科学技术,同时对测量的精度和功能的有着更高的要求。电压是电子测量的一个主要参数,由于电压测量在电子测量中的普遍性与重要性,因此对电压测量的研究与设计有着非常重要的意义。本次设计的主要设计内容为三档直流电压表。在设计过程中由于第一次接触这种芯片,对该芯片不是很熟悉,我们参阅了大量前人的设计,在此基础上,运用A / D转换器ICL7107构建了一个直流数字电压表。本设计首先简要介绍了设计电压表的主要方式,然后详细介绍了直流数字电压表的设计流程和芯片的工作原理,本设计中我们展示了两种方案,手动换挡的自动换挡,在各方案中也给出了两种方案的优缺点。同时也给出了硬件电路的设计细节,包括各部分电路的走向、芯片的选择以及方案的可行性分析等。 关键字:ICL7107芯片,数字电压表,A\D转换,比较器,CC4006双向模拟开关。 课程设计任务及要求 2.1设计目的 1、掌握双积分A/D转换的工作原理和集成双积分A/D转换器件的设计方法 2、掌握常用数字集成电路的功能和使用 2.2设计要求 1.设计直流数字电压表 2.直流电压测量范围: 0V~1.999V,0V~19.99V,0V~199.9V。 3.直流输入电阻大于100kΩ。 4.画出完整的设计电路图,写出总结报告。 5.选做内容:自动量程转换。 设计思路和方案选择

基于51单片机的数字电压表设计说明

1.1数字电压表介绍 数字电压表简称DVM,数字电压表基本原理是将输入的模拟电压信号转化为数字信号,再进行输出显示。而A/D转换器的作用是将连续变化的模拟信号量转化为离散的数字信号,器基本结构是由采样保持,量化,编码等几部分组成。因此AD转换是此次设计的核心元件。输入的模拟量经过AD转换器转换,再由驱动器驱动显示器输出,便得到测量的数字电压。 本次自己的设计作品从各个角度分析了AD转换器组成的数字电压表的设计过程及各部分电路的组成及原理,并且分析了数模转换进而使系统运行起来的原理及方法。通过自己的实践提高了动手能力,也只有亲历亲为才能收获掌握到液晶学过的知识。其实也为建立节约成本的意识有些帮助。本次设计同时也牵涉到了几个问题:精度、位数、速度、还有功耗等不足之处,这些都是要慎重考虑的,这些也是在本次设计中的收获。 1.3 本次设计要求 本次设计的作品要求制作数字电压表的量程为0到10v,由于用到的模数转换芯片是ADC0809,设计系统给的供电电压为+5v,所以能够测量的电压围为-0.25v到5.25v之间,但是一般测量的直流电压围都在这之上,所以采用电阻分压网络,设计的电压测量围是0到25v之间,满足设计要求的最大量程5v的要求。同时设计的精度为小数点后三位,满足要求的两位小数的精度,在不考虑AD芯片的量化误差的前提下,此次设计的精度能够满足一般测量的要求。

2单片机和AD相关知识 2.1 51单片机相关知识 51单片机是对目前所有兼容intel 8031指令系统的单片机的统称。该系列单片机的始祖是intel的8031单片机,后来随着技术的发展,成为目前广泛应用的8为单片机之一。单片机是在一块芯片集成了CPU、RAM、ROM、定时器/计数器和多功能I/O口等计算机所需要的基本功能部件的大规模集成电路,又称为MCU。51系列单片机包含以下几个部件: 一个8位CPU;一个片振荡器及时钟电路; 4KB的ROM程序存储器; 一个128B的RAM数据存储器; 寻址64KB外部数据存储器和64KB外部程序存储空间的控制电路; 32条可编程的I/O口线; 两个16位定时/计数器; 一个可编程全双工串行口; 5个中断源、两个优先级嵌套中断结构。51系列单片机如下图: 图1 51单片机引脚图

基于单片机的数字电压表设计

引言 数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。传统的指针式电压表功能单一、精度低,不能满足数字化时代的需求,采用单片机的数字电压表,由精度高、抗干扰能力强,可扩展性强、集成方便。目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力。与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。本论文重点介绍单片A/D 转换器以及由它们构成的基于单片机的数字电压表的工作原理。

1 实训要求 (1)基本要求: ①实现8路直流电压检测 ②测量电压范围0-5V ③显示指定电压通道和电压值 ④用按键切换显示通道 (2)发挥要求 ①测量电压范围为0-25V ②循环显示8路电压 2 实训目的 (1)进一步熟悉和掌握单片机的结构和工作原理; (2)掌握单片机的借口技术及,ADC0809芯片的特性,控制方法; (3)通过这次实训设计,掌握以单片机为核心的电路设计的基本方法和技术;(4)通过实际程序设计和调试,逐步掌握模块化程序设计的方法和调试技术。 3 实训意义 通过完成一个包括电路设计和程序开发的完整过程,使自身了解开发单片机应用系统的全过程,强化巩固所学知识,为以后的学习和工作打下基础。 4 总体实训方案 测量一个0——5V的直流电压,通过输入电路把信号送给AD0809,转换为数字信号再送至89s52单片机,通过其P1口经数码管显示出测量值。 4.1 结构框图 如图1—1所示 图1—1

DIY数字显示直流电压表

DIY数字显示直流电压表 最近想做一个电源,因为经常DIY,没有一个电源不像样子,虽然是业余的,但是电压有时也会有不同的电压值,如做成固定的电压应用起来就不方便,如做成可调的,电源值就不能直观的展示出来,每调一次就用万用表量一起也不方便。如果有一个电压表装在电源上就方便多了,指针式的表头读起数来总是有点别扭,所以就想找一个数字式的电压表头。因此在这样的背景下自己通过DIY 制作了一个4位数字显示的电压表头。 做数字式电压表用什么IC好呢?选来选去最后决定用ICL7017吧!定好芯片就开要画个完整的电路图。既然要做就做好点,不想用洞洞板来接线路板,电线飞来飞去的有点头痛的感觉,所以还要画一块PCB板。电路图及PCB板的设计如下图示:

有了图就要准备物料了,不想一个一个的写出来,给个物料清单吧如下 组件编号 组件数值组件规格用量 号 C1 0.1uF 瓷片电容±20% 50V 1 C2 100P 瓷片电容±5% 50V 1 C3 0.1uF 金属膜电容±5% 63V 1 C4 0.1uF 独石电容±5% 63V 1 C6 0.22uF 金属膜电容±5% 63V 1 C5 0.47uF 金属膜电容±5% 63V 1 C7,C8 10uF/25V 电解电容+80-20% 2 R1 150Ω金属膜电阻±1% 1/4W 1 R8 1K 金属膜电阻±1% 1/4W 1 R9 1M 1/2W 金属膜电阻±1% 1/2W 1 R7 1M 金属膜电阻±1% 1/4W 1 R3 2.95K 金属膜电阻±1% 1/4W 1 R2,R5 10K 金属膜电阻±1% 1/4W 2 R4 20K 金属膜电阻±1% 1/4W 1 R6 154K 金属膜电阻±1% 1/4W 1 R10 470K 金属膜电阻±1% 1/4W 1 VR2 5K 精密微调电阻922C0 W 502 1 D2,D3 4148 ST 1N4148 DO-35 2 J1,J2 DC5V 鱼骨针2pin 2 D1 DIODE 1N4004 DO-41 1 DS1~4 HS-5161BS2 共阳8段数码管 4 U1 ICL7107 IC ICL7107CPLZ DIP-40 1 U2 TC4069 IC TC4069UBP DIP-14 1 U3 TL431 IC TL431A TO-92 1 IC插座14 pin 2.54mm 1 IC插座40 pin 2.54mm 1 PCB光板36x68x1.6mm 双面FR-4 1 塑料外壳尺寸要与PCB板配合,网上购的 1 镙丝 4 锡线适量 工具就是电子爱好者的常用工具了

数字电压表课程设计实验报告

自动化与电气工程学院 电子技术课程设计报告 题目数字电压表的制作 专业 班级 学号 学生姓名 指导教师 二○一三年七月

一、课程设计的目的与意义 1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作内容和具体的设计方法。 2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。 3.通过设计也有助于复习和巩固以往的模电、数电内容,达到灵活应用的目的。在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。在此过过程中培养从事设计工作的整体观念。 4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。 二、电路原理图 数字电压表原理图

三、课程设计的元器件 1.课程设计所使用的元器件清单: 2.主要元器件介绍 (1)芯片ICL7107: ICL7107的工作原理 双积分型A/D转换器ICL7107是一种间接A/D转换器。它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。 它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。积分器是A/D转换器的心脏,在一个测量周期内,积分器先后对输入信号电压和基

准电压进行两次积分。比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。它是由内部的两个反向器以及外部的RC组成的。其振荡周期Tc=2RCIn1.5=2.2RC 。 ICL7106A/D转换器原理图 计数器对反向积分过程的时钟脉冲进行计数。控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。 分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。 译码器为BCD-7段译码器,将计数器的BCD码译成LED数码管七段笔画组成数字的相应编码。 驱动器是将译码器输出对应于共阳极数码管七段笔画的逻辑电平变成驱动相应笔画的方波。 控制器的作用有三个:第一,识别积分器的工作状态,适时发出控制信号,使各模拟开关接通或断开,A/D转换器能循环进行。第二,识别输入电压极性,控制LED 数码管的负号显示。第二,当输入电压超量限时发出溢出信号,使千位显示“1" ,其余码全部熄灭。 钓锁存器用来存放A/D转换的结果,锁存器的输出经译码器后驱动LED 。它的每个测量周期自动调零(AZ)、信号积分(INT)和反向积分(DE)三个阶段。

基于51单片机的数字电压表设计

目录 摘要........................................................................ I 1 绪论. (1) 1.1数字电压表介绍 (1) 1.2仿真软件介绍 (1) 1.3 本次设计要求 (2) 2 单片机和AD相关知识 (3) 2.1 51单片机相关知识 (3) 2.2 AD转换器相关知识 (4) 3 数字电压表系统设计 (5) 3.1系统设计框图 (5) 3.2 单片机电路 (5) 3.3 ADC采样电路 (6) 3.4显示电路 (6) 3.5供电电路和参考电压 (7) 3.6 数字电压表系统电路原理图 (7) 4 软件设计 (8) 4.1 系统总流程图 (8) 4.2 程序代码 (8) 5 数字电压表电路仿真 (15) 5.1 仿真总图 (15) 5.2 仿真结果显示 (15) 6 系统优缺点分析 (16) 7 心得体会 (17) 参考文献 (18)

1 绪论 1.1数字电压表介绍 数字电压表简称DVM,数字电压表基本原理是将输入的模拟电压信号转化为数字信号,再进行输出显示。而A/D转换器的作用是将连续变化的模拟信号量转化为离散的数字信号,器基本结构是由采样保持,量化,编码等几部分组成。因此AD转换是此次设计的核心元件。输入的模拟量经过AD转换器转换,再由驱动器驱动显示器输出,便得到测量的数字电压。 本次自己的设计作品从各个角度分析了AD转换器组成的数字电压表的设计过程及各部分电路的组成及原理,并且分析了数模转换进而使系统运行起来的原理及方法。通过自己的实践提高了动手能力,也只有亲历亲为才能收获掌握到液晶学过的知识。其实也为建立节约成本的意识有些帮助。本次设计同时也牵涉到了几个问题:精度、位数、速度、还有功耗等不足之处,这些都是要慎重考虑的,这些也是在本次设计中的收获。 1.2仿真软件介绍 Proteus ISIS是英国Labcenter公司开发的电路分析与实物仿真软件。它运行于Windows 操作系统上,可以仿真、分析(SPICE)各种模拟器件和集成电路,该软件的特点是: (1)现了单片机仿真和SPICE电路仿真相结合。具有模拟电路仿真、数字电路仿真、单片机及其外围电路组成的系统的仿真、RS232动态仿真、I2C调试器、SPI调试器、键盘和LCD系统仿真的功能;有各种虚拟仪器,如示波器、逻辑分析仪、信号发生器等。 (2)支持主流单片机系统的仿真。目前支持的单片机类型有:68000系列、8051系列、 A VR系列、PIC12系列、PIC16系列、PIC18系列、Z80系列、HC11系列以及各种外围芯片。 (3)提供软件调试功能。在硬件仿真系统中具有全速、单步、设置断点等调试功能,同时可以观察各个变量、寄存器等的当前状态,因此在该软件仿真系统中,也必须具有这些功能;同时支持第三方的软件编译和调试环境,如Keil C51 uVision2等软件。 (4)具有强大的原理图绘制功能。 可以仿真51系列、A VR、PIC、ARM、等常用主流单片机。还可以直接在基于原理图的虚拟原型上编程,再配合显示及输出,能看到运行后输入输出的效果。配合系统配置的

直流数字电压表毕业设计

毕业设计 姓名:孟冬冬 专业:电气自动化 班级:电气1001班 设计课题:数字电压表的设计指导教师:杨喜录 电子信息工程系印制 二○一二年九月

宝鸡职业技术学院毕业设计任务书 姓名:孟冬冬 专业:电气自动化 班级:电气1001班 设计课题:数字电压表的设计 指导教师:杨喜录 电子信息工程系印制 二○一二年九月

引言 数字电压表是采用数字化电路测量的电压仪表。它以其高准确度、高可靠性、高分辨率、高性价比、读数清晰方便、测量速度快、输入阻抗高等优良特性而倍受人们的青睐。数字电压表是诸多数字化仪表的核心与基础。以数字电压表为核心,可以扩展成各种通用数字仪表、专用数字仪表及各种非电量的数字化仪表(如:温度计、湿度计、酸度计、重量、厚度仪等),几乎覆盖了电子电工测量、工业测量、自动化仪表等各个领域。因此对数字电压表作全面深入的了解是很有必要的。传统的模拟式(即指针式)电压表已有100多年的发展史,虽然不断改进与完善,仍无法满足现代电子测量的需要,数字电压表自1952年问世以来,显示强大的生命力,现已成为在电子测量领域中应用最广泛的一种仪表。

数字电压表简称DVM (Digital Voltmeter ),它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等领域,显示出强大的生命力。与此同时,由DVM 扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。智能化数字电压表则是最大规模集成电路(LSI )、数显技术、计算机技术、自动测试技术(ATE )的结晶。一台典型的直流数字电压表主要由输入电路、A/D 转换器、控制逻辑电路、计数器(或寄存器)、显示器,以及电源电路等级部分组成。它的数字输出可由打印机记录,也可以送入计算机进行数据处理。 系统概述 数字电压表是将被测模拟量转换为数字量,并进行实时数字显示的数字系统。 该系统(如图1所示)可由MC14433--32 1位A/D 转换器、MC1413七路达林顿驱动器阵列、CD4511 BCD 到七段锁存-译码-驱动器、能隙基准电源MC1403和共阴极LED 发光数码管组成。

多量程直流数字电压表

电子技术课程设计报告 专业班级: 学生学号: 学生姓名: 指导教师: 设计时间: 自动化与电气工程学院

设计课题题目: 多量程直流数字电压表 一、设计任务与要求 1.设计并制作一个直流稳压电源,设计要求为 (1) 输入电压为220V (2) 输出电压为±5V 2.设计一个2 13 直流数字电压表,设计要求为 分辨率 (1) 测量量程:基本量程:200mV 0.1mV 扩展量程:2V 1mV 20mV 0.01mV (2) 测量范围: 0mV~2V (3 ) 显示范围:十进制数0~1999 (4) 使用双积分A/D 转换器ICL7107完成直流电压的数字化转换 二、电路原理分析与方案设计 1. 设计要求分析 数字电压表由电阻网络(量程调整)、直流放大(运放组成)、电压极性判断、A/D 转换、数码(液晶)显示等部分组成。 直流数字电压表主要完成对电位器或外部电压的测量与显示。因此,为了适应不同大小的的待测模拟电压信号,应该有测量量程的选择功能。ICL7107是双积分式三位半A/D 转换器,可构成基本量程200Mv,而扩展量程20V 可由电阻电位器分压,2V 量程可由运放放大。 2. 方案设计 (1)±5V 直流稳压电源 首先通过中心抽头的18V 电源变压器,输出电压经过四个二极管组成的桥式整流电路整流后通过电容滤波,然后通过三端稳压管LM7805和KV7905分别对正负电压进行稳压,在对输出电压进行滤波,从而得到较为稳定的±5V 直流稳压电源。 (2)2 13 直流数字电压表 将输入电压分别通过电阻电位器和μA741运放放大器进行缩小和放大,将输出信号输入到ICL7107 A/D 转换器V-IN 端,经过A/D 转换电路、参考电压电路、复位电路、时钟电路等电路完成数据转换及传输,最后通过2 13 数码管进行显示。 三、单元电路分析与设计 1.单元电路原理分析 电源: (1) 电源变压器

#简易数字电压表的设计

一、简易数字电压表的设计 l .功能要求 简易数字电压表可以测量0~5V 的8路输入电压值,并在四位LED 数码管上轮流显示或单路选择显示。测量最小分辨率为0.019 V ,测量误差约为土0.02V 。 2.方案论证 按系统功能实现要求,决定控制系统采用A T89C52单片机,A /D 转换采用ADC0809。系统除能确保实现要求的功能外,还可以方便地进行8路其它A /D 转换量的测量、远程测量结果传送等扩展功能。数字电压表系统设计方案框图如图1-1。 3.系统硬件电路的设 计 简易数字电压测量电 路由A /D 转换、数据处 理及显示控制等组成,电 路原理图如图1-2所示。A /D 转换由集成电路0809完 成。0809具有8路模拟输人 端口,地址线(23~25脚)可决定对哪一路模拟输入作A /D 转换,22脚为地址锁存控制,当输入为高电平时,对地址信号进行锁存,6脚为测试控制,当输入一个2us 宽高电平脉冲时,就开始A /D 转换,7脚为A /D 转换结束标志,当A /D 转换结束时,7脚输出高电平,9脚为A /D 转换数据输出允许控制,当OE 脚为高电平时,A /D 转换数据从该端口输出,10脚为0809的时钟输入端,利用单片机30脚的六分频晶振频率再通过14024二分频得到1 MHz 时钟。单片机的P1、P3.0~P3.3端口作为四位LED 数码管显示控制。P3.5端口用作单路显示/循环显示转换按钮,P3.6端口用作单路显示时选择通道。P0端口作A /D 转换数据读入用,P2端口用作0809的A /D 转换控制。 4.系统程序的设计 (1)初始化程序 系统上电时,初始化程序将70H ~77H 内存单元清0,P2口置0。 (2)主程序 在刚上电时,系统默认为循环显示8个通道的电压值状态。当进行一次测量后,将 图1-1 数字电压表系统设计方案

简易交直流电压表

沈阳航空航天大学 课程设计任务书 课程名称电子技术综合课程设计 院(系)专业 班级学号姓名 课程设计题目简易数字电压表电路的设计 课程设计时间: 年月日至年月日 课程设计的内容及要求: 一、设计说明 设计一个简易数字电压表,它可以测量直流、交流电压。其参考原理框图如图1所示。 图1数字电压表的原理框图 二、技术指标 测量电压的技术指标如表所示。 三、设计要求 1.在选择器件时,应考虑成本,要求采用LED显示。各量程的转换采用开关转换。

2.根据技术指标,通过分析计算确定电路和元器件参数。 3.画出电路原理图(元器件标准化,电路图规范化)。 四、实验要求 1.根据技术指标制定实验方案;验证所设计的电路。 2.进行实验数据处理和分析。 五、推荐参考资料 1.沙占友、李学芝著.中外数字万用表电路原理与维修技术. [M]北京:人民邮电出版社,1993年 2. 阎石. 数字电子技术基础. [M]北京:高等教育出版社,2006年 3. 童诗白、华成英.模拟电子技术基础. [M]北京:高等教育出版社,2006年 4. 戴伏生.基础电子电路设计与实践. [M]北京:国防工业出版社,2002年 5. 谭博学主编.集成电路原理与应用. [M]北京:电子工业出版社,2003年 六、按照要求撰写课程设计报告 指导教师年月日 负责教师年月日 学生签字年月日 成绩评定表

一、概述 数字电压表既是常用的一种数字电压表,也是构成数字万用表的基本电路。随着科技的发展,电子产品在不断更新,但数字电压表是永远不会在电子产品中消失。 设计一个简易数字电压表,它可以测量直流、交流电压。测量电压量程为2V、20V,输入电阻为10MΩ,分辨率分别对应为1mV、10mV;准确度是在温度为23±5℃情况下测直流时为±(0.5%RDG+3字),测交流时为±(1.0%RDG+3字);输入电阻为10MΩ;最大允许直流电压为±500V,最大允许交流电压为500V。 本设计是对电压测量电路作单独的研究,从实质上去了解万用表中测量电压的过程。电路涉及到对电路、低频、数字电路等知识的考查。 二、方案论证 方案一: 方案一原理方框图如图1所示。数字电压表由分压电路,输入保护及缓冲电路,交、直流变换电路,压频转换电路、译码显示电路组成。分压电路在电路中实现电压倍率变换起到将大电压转换成小电压的作用;输入保护及缓冲电路在电路中起到避免大电压输入对电路的烧坏;交、直流变换电路起到将交流电压转换成直流电压,且直流电压值为交流电压的有效值;压频转换电路将电压转换成对应的线性频率。译码显示电路时将频率的数值通过LED数码管显示出来。 图1 方案1的原理框图 方案二: 方案二的原理框图如图2所示,电路由分压电路,输入保护及缓冲电路,交、直流变换电路,A/D转换电路,单片机及译码显示电路组成。前几个模块的功能与方案一相同,不同的是方案中用到单片机对经过A/D转换器后的数字信号进行记录然后通过译码显示电路进行显示。

虚拟数字电压表的设计

摘要 LabVIEw 8.5版本的工程技术比以往任何一个版本都丰富.它采用了中文界面,各个控件的功能一目了然。利用它全新的用户界面对象和功能,能开发出专业化、可完全自定义的前面板。LabVIEw 8.5对数学、信号处理和分析也进行了重大的补充和完善,信号处理分析和数学具有更为全面和强大的库,其中包括500多个函数。所以在LabVIEw 8.5版本下能够更方便地实现虚拟电压表的设计。 虚拟电压表是基于计算机和标准总线技术的模块化系统,通常它由控制模块、仪器模块和软件组成,由软件编程来实现仪器的功能。在虚拟仪器中,计算机显示器是惟一的交互界面,物理的开关、按键、旋钮以及数码管等显示器件均由与实物外观相似的图形控件来代替,操作人员只要通过鼠标或键盘操作虚拟仪器面板上的旋钮、开关、按键等设置各种参数,就能根据自己的需要定义仪器的功能。在虚拟电压表的设计中,考虑到仪器主要用于教学和实验,使用对象是学生,因此将引言中提到的三种检波方式的仪器合为一体,既简化了面板操作,又便于直接对比。 该电压表主要用于电路分析和模拟电子技术等实验课的教学和测量仪器,能够使学习者了解和掌握电压的测量和电压表对各种波形的不同响应。因此,虚拟电压表应具备电源开关控制、波形选择,以及显示峰值、有效值和平均值三种结果,且输入信号的大小可调节等功能。虚拟电压表由硬件设备与接口、设备驱动软件和虚拟仪器面板组成。其中,硬件设备与接口包括仪器接口设备和计算机,设备驱动软件是直接控制各种硬件接口的驱动程序,虚拟仪器通过底层设备驱动软件与真实的仪器系统进行通信,并以虚拟仪器面板的形式在计算机屏幕上显示与真实仪器面板操作相对应的各种控件。在此,用软件虚拟了一个信号发生器。该信号发生器可产生正弦波、方波和三角波,还可以输入公式,产生任意波形。根据需要,可调节面板上的控件来改变信号的频率和幅度等可调参数,然后检测电压表的运行情况。因此,在LabVIEW图形语言环境下设计的虚拟电压表主要分为两个部分:第一部分是虚拟电压表前面板的设计;第二部分是虚拟电压表流程图的设汁。

实验七 直流数字电压表设计

学生姓名:学号:班级:时间: 课程名称:单片机原理及应用总学时:48 教师成绩: 实验名称:实验七——直流数字电压表设计 实验目的:掌握LED动态显示和A/D转换接口设计方法。 实验内容: 根据如下电路原理图,编程实现查询法A/D转换和转换结果的十进制动态显示功能。 编程原理: LED显示器和ADC0808均采用通用IO口方式与单片机接口。 LED动态显示编程原理:将待显示数据拆解为3位十进制数,并分时地将其在相应LED位上进行显示。1次完整的输出过程为:最低位位码清零→最低位数据送P0口→最低位位码置1→软件延时→中间位位码清零→中间位数据送P0口→中间位位码置1→软件延时→最高位位码清零→最高位数据送P0口→最高位位码置1→软件延时。如此无限循环可实现动态显示。 ADC0808编程原理:被测模拟量由0#通道输入(ADDA,ADDB,ADDC均接地可选通0通道);转换启动信号(START和ALE)可由软件方式产生P2.5正脉冲;转换结束信号(EOC)可通过查询P2.6的电平变化获得;输出使能信号(OE)可由软件方式产生P2.7正脉冲。 实验要求:

1、虚拟时钟信号发生器用法可参阅P262阅读材料,C51程序编写可参考以下程序模板; ———————————————— #include ______________ //定义ADC启动位变量,_st ______________ //定义ADC结束位变量,_eoc ______________ //定义ADC锁存位变量,_oe ______________ //定义数码管最低位位变量,led0 ______________ //定义数码管第二位位变量,led1 ______________ //定义数码管第三位位变量,led2 ______________ //定义AD转换结果存放变量,ad_result ______________ //定义显示字模数组并赋初值,table //0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f void delay(unsigned int time){ //延时函数 unsigned int j = 0; for(;time>0;time--) for(j=0;j<125;j++); } void disp(void){ //动态显示函数 ___________________ // led0清0 P0= _______________ //输出最低位数据的字模 ___________________ //延时10ms ___________________ // led0置1 ___________________ // led1清0 P0= _______________ //输出中间位数据的字模 ___________________ //延时10ms ___________________ // led1置1 ___________________ // led2清0 P0= _______________ //输出最高位数据的字模 ___________________ //延时10ms ___________________ // led2置1 } void main(void){ while(1){ ___________ //模仿_st正脉冲(低_高_低) ___________ ___________ ___________ //查询_eoc,若_eoc =0,原地循环 ___________ //若_eoc =1,_oe置1 ___________ //读取AD转换结果 ___________ //_oe清0 ___________ //动态显示函数调用 } } 2、提交实验报告:包括电路原理图,虚拟时钟信号发生器设置、C51源程序,运行效果图、讨论软件延时长短对动态显示效果的影响、实验小结。

多功能数字电压表课程设计

1.设计主要内容及要求; 设计一个多功能数字电压表。 要求:1)硬件电路设计,包括原理图和PCB板图。 2)数字电压表软件设计。 3)要求能够测量并显示直流电压、交流电压,测量范围0.002V---2V。 2.对设计论文撰写内容、格式、字数的要求; (1).课程设计论文是体现和总结课程设计成果的载体,一般不应少于3000字。 (2).学生应撰写的内容为:中文摘要和关键词、目录、正文、参考文献等。课程设计论文的结构及各部分内容要求可参照《沈阳工程学院毕业设计(论文)撰写规范》执行。应做到文理通顺,内容正确完整,书写工整,装订整齐。 (3).论文要求打印,打印时按《沈阳工程学院毕业设计(论文)撰写规范》的要求进行打印。 (4). 课程设计论文装订顺序为:封面、任务书、成绩评审意见表、中文摘要和关键词、目录、正文、参考文献。 3.时间进度安排;

中文摘要 随着微型计算机及微电子技术在测试领域中的广泛应用,仪器仪表在测量原理、准确度、灵敏度、可靠性、多种功能及自动化水平等方面都发生了巨大的变化,逐步形成了完全突破传统概念的新一代仪器——智能仪器。智能化是现代仪器仪表的发展趋势,许多嵌入式系统、电子技术和现场总线领域的新技术被应用于智能仪器仪表的设计,尤其是嵌入式系统的许多新的理念极大地促进了智能仪器仪表技术的发展。 今年来,随着大规模集成电路的发展,有单片A/D转换器构成的数字电压表获得了迅速普及和广泛应用,它是目前在电子测量及维修工作中最常用、最得力的一种工具类数字仪表。数字电压表具有很高的性价比,其主要优点是准确度高、分辨力强测试功能完善、测量速率快、显示直观。 测试仪器的智能化已是现代仪器仪表发展的主流方向。因此学习智能仪器的工作原理、掌握新技术和设计方法无疑是十分重要的。 关键词智能,数字,电压表,仪器仪表

简易数字直流电压表的设计

电子制作课程考核报告 课程名称简易数字直流电压表的设计 学生姓名贾晋学号1313014041 所在院(系)物理与电信工程 专业班级电子信息工程1302 指导教师秦伟 完成地点 PC PROTEUS 2015年 6 月 13 日

简易数字直流电压表的设计 简易数字直流电压表的设计 摘要本文介绍一种基于AT89C51单片机的简易数字电压表的设计。该设计主要由三个模块组成:A/D转换模块,数据处理模块及显示模块。A/D转换芯片为ADC0808,它主要负责把采集到的模拟量转换为数字量再传送到数据处理模块。数据处理则是由芯片AT89C51来完成,主要负责把ADC0808传送来的数字量经过一定的数据处理,产生相应的显示码送到显示模块进行显示;并且,它还控制着ADC0808芯片工作。 该系统的数字电压表电路简单,所用的元件较少,成本低,且测量精度和可靠性较高。此数字电压表可以测量0-200V的模拟直流输入电压值,并通过数码管显示。 关键词单片机;数字电压表;AT89C51;ADC0808

目录 1 引言............................................................................................... 2 总体设计方案............................................................................... 2.1设计要求 ............................................................................... 2.2 设计思路 .............................................................................. 2.3 设计方案 .............................................................................. 3 详细设计....................................................................................... 3.1 A/D转换模块 .................................................................... 3.2 单片机系统 ........................................................................ 3.3 时钟电路 ............................................................................ 3.4 LED显示系统设计 ........................................................... 3.5 总体电路设计 .................................................................... 4 程序设计....................................................................................... 4.1 程序设计总方案 ................................................................ 4.2 系统子程序设计 ................................................................ 5 仿真............................................................................................. 5.1 软件调试 (11) 5.2 显示结果及误差分析 ........................................................ 结论................................................................................................. 参考文献........................................................................................... 附录...................................................................................................

积分式直流数字电压表

积分式直流数字电压表 摘要:51系列单片机具有两个以上16通道定时器(TIME0和TIME1),每个通道可选择为输入捕获、输出捕获和PWM方式来测量脉宽,8路8位A/D转换器。当需大于8位的A/D转换时,可以用片内16位的定时器外接运放、比较器和多路开关实现双积分A/D转换。TL082是JFETINPUT运放;LM358作为比较器;MC4066是多路开关。51单片机P1口的P10、P11、P12作为输出,控制MC4066多路开关的输入选择;INT0作为中断输入口,捕捉LM358比较器的输出电平跳变。 关键字:双积分A/D,输出比较,输入捕捉,分辨率

一、系统方案论证与比较 为了完成上面的设计要求,将整个积分式直流数字万用表的设计分为四部分:积分、过零比较部分,控制部分,显示部分和供电部分。原理图如图1.1所示。 图G-1-1 1、单片机的选择 方案一:采用ATMEL公司生产的8位单片机AT89C51作为双积分A/D转换器的核心,此次单片机价格相对便宜,容易购买。此设计中控制功能比较多,因此需要用到的输入输出口比较多, AT89C51足可以满足控制要求,且选用此单片机不需外接扩展电路,因此节省了资源,降低了成本;并且可以达到很高的精度和实现此次设计的各种要求。 方案二:采用MOTOROLA公司生产的8位单片机MC68HC908GP32作为双积分A/D 转换器的核心,该单片机只具有两个输入输出口,虽然也能满足以上各种要求,但需要外接扩展电路,这不但在使用上增加了难度而且也增加了设计成本,浪费了资源。使电路边的比较复杂,在实际调试中也增加了难度。 鉴于以上分析,拟选择方案一。 2、积分器、过零比较器电路 方案一:该方案的系统框图如图1.2所示。运放为LM311、比较器为LM339、多路开关为MC14052。MC68HC908GP32单片机的PTD5、PTD4作为输出控制MC14052多路开关的输入选择。PTD7作为输入口,捕捉LM339比较器的输出跳变。C为积分电容,常取0.1μF左右的聚丙烯电容,R为积分电阻,可取100K左右,Vi为输入电压,-E为负的基准电压。此电路只对输入信号进行了一次信号放大,也就是只进行了一次积分。此电路,积分波形不明显,不容易在示波器上调试出来。 方案二:该方案的系统原理图如图1.3所示。C1为积分电容,常取0.22μF 左右的聚丙烯电容,R2为积分电阻,可取500k左右,U2A为积分运放,U2A、C1、R2构成了积分器,U2B是过零检测运放。VIN为输入电压,VREF为基准电压,AGND 为转换器的参考零点。VREF和参考零点以R9、R10、R11分压产生。TL082是JFETINPUT运放;LM358作为比较器;MC4066是多路开关。此电路有自己单独的基准电压,并且它的基准电压根据测量的不同范围的电压,可以进行调节,因此更

数字电压表的设计与制作

毕业设计(论文) 题目:数字电压表的设计与制作年级专业:电气自动化14321班 学生姓名:秦小钧 指导教师:杨海蓉

2016年 10 月 13 日 毕业设计任务书 毕业设计题目:数字电压表的设计与制作 题目类型工程设计题目来源学生自选题 毕业设计时间从 2016/09/25 至 2016/10/13 1.毕业设计内容要求: 采用AT89S52作MCU,ADC0809(或其他芯片)进行AD转换,测量电压的范围为直流0-5V 电压,四位数码管显示。 2.主要参考资料 [1]万福君,潘松峰.单片微机原理系统设计与应用[M],中国科学技术大学出版社,01年8月第2版 [2]周责魁. 控制仪表与计算机控制装置[M] ,化学工业出版社,02年9月第1版 [3]李青. 电路与电子技术基础[L] ,浙江科学技术出版社,05年2月第1版 [4]陈乐. 过程控制与仪表[M], 中国计量学院出版社,07年3月 [5]孙育才. 新型AT89S52系列单片机及其应用[M] ,清华大学出版社,05年5月第1版3.毕业设计进度安排

摘要 本设计由A/D转换、数据处理及显示控制等组成,测量0~5V范围内的输入电压值,由4位共阳8段数码管扫描显示,最大分辨率0.1V,误差±0.05V。数字电压表的核心为AT89S52单片机和ADC0832 A/D转换集成芯片。 关键词:数字电压表;单片机;AT89S52; ADC0832

第一章设计方案的选择 1.1功能要求及设计目标 采用AT89S52作MCU,ADC0809(或其他芯片)进行AD转换,测量电压的范围为直流0-5V电压,四位数码管显示。(设计并制作出实物为优). 1.2 系统设计方案 AT89S52具有如下特点:40个引脚,8k Bytes Flash片内程序存储器,256 bytes的随机存取数据存储器(RAM),32个外部双向输入/输出(I/O)口,5个中断优先级2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(WDT)电路,片内时钟振荡器 AT89C52可以按照常规方法进行编程,也可以在线编程。其将通用的微处理器和Flash 存储器结合在一起,特别是可反复擦写的 Flash存储器可有效地降低开发成本。 AT89S5与AT89c52相比,前者的性能比后者高,所以本设计采用AT89S52芯片。 数模转换芯片:

数字电压表设计

《单片机课程设计》设计报告 设计题目: 姓名: 设计时间:2010-12-28 备注:

目录 1.引言 (2) 2.概述··2 2.1实验要求 (2) 2.2实验目的 (2) 2.3 实验器材 (2) 3.总体设计方案 (3) 3.1系统的总体结构 (3) 3.2芯片的选择 (4) 3.3 ADC0809 的主要性能指标 (4) 4.硬件电路设计 (6) 4.1 AT89S52 单片机最小系统 (6) 4.2 ADC0809 与AT89S52 单片机接口电路设计 (6) 4.3显示电路与AT89S52 单片机接口电路设计 (6) 5.软件设计 (7) 5.1 主程序图 (7) 5.2 ADC0809 电压采集程序框图 (8) 5.3显示程序框图 (9) 6.调试与测量结果分析 (10) 6.1实验系统连线图 (11) 6.2程序调试 (12) 6.3 仿真结果 (13) 6.4 实验结果分析 (14) 7.程序清单和系统原理图 (15) 7.1程序清单 (15) 7.2 系统原理图 (16) 8.实验总结和实验收获 (17)

1.引言 本次课程设计要求完成是数字电压表的设计,随着电子科学技术的发展,电子测量成为广大电子工作者必须掌握的手段,对测量的精度和功能的要求也越来越高,而电压的测量甚为突出,因为电压的测量 最为普遍。本次课程设计我们小组xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxxxxxxxxxxxxxxxx该电路设计新颖、功能强大、可 扩展性强。 实验报告首先简要介绍了设计数字电压表的实验要求和目的;根据要求和目的设计出直流数字电压表的系统结构流程,以及硬件系统和软件系统的设计,并给出了硬件电路的设计细节,以及调试和仿真结果。最后进行了实验和心得体会的总结。 通过完成一个包括电路设计和程序开发的完整过程,使自身了解开发单片机应用系统的全过程,强化巩固所学知识,为以后的学习和工作打下基础。 2.概述 2.1实验要求 采用ADC0809 和AT89S52 单片机及显示电路完成0~5V 直流电压的检测 2.2实验目的 (1)进一步熟悉和掌握单片机的结构和工作原理; (2)掌握单片机的借口技术及,ADC0809芯片的特性,控制方法;(3)通过这次实训设计,掌握以单片机为核心的电路设计的基本

相关文档
最新文档