竞赛抢答器的设计

竞赛抢答器的设计
竞赛抢答器的设计

东北石油大学课程设计

2014年 3 月7日

东北石油大学课程设计任务书

课程EDA技术课程设计

题目数字式竞赛抢答器

专业电子信息工程姓名丛绍州学号100901140517

主要内容、基本要求、主要参考资料等

主要内容:

设计一个可容纳6组参赛的数字式抢答器,当第一个人按下抢答按钮时,其他组的按钮不起作用。当主持人按下“复位”按钮,所有组的按键才可用。

基本要求:

1、设计一个可容纳6组参赛的数字式抢答器,每组设一个按钮,供抢答使用。

2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。

3、设置一个主持人“复位”按钮。

4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2-3秒的音响。

5、设置一个计分电路,每组开始预置100分,由主持人记分,答对一次加10分,答错一次减10分。

主要参考资料:

[1] 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005.

[2] 康华光主编.电子技术基础模拟部分. 北京:高教出版社,2006.

[3] 阎石主编.数字电子技术基础. 北京:高教出版社,2003.

完成期限2014.3.7

指导教师

专业负责人

2014年3月3日

一、设计思想

1.基本原理

抢答能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们迅速增加一些科学知识和生活常识。但是,在这类比赛中,对于谁先谁后抢答,在何时抢答,如何计算答题时间等等问题,若是仅凭主持人的主观判断,就很容易出现误判。所以,我们就需要一种具备自动锁存,置位,清零等功能智能抢答器来解决这些问题。

本设计为六路数字式竞赛抢答器,抢答过程:主持人按下系统复位键(RST),系统进入抢答状态,计时模块和计分模块输出初始信号给数码显示模块并显示出初始值。当某参赛组抢先将抢答键按下时,系统将其余五路抢答信号封锁,同时扬声器发出声音提示,组别显示模块送出信号给数码显示模块,从而显示出该抢答成功组台号,并一直保持到下一轮主持人将系统清零为止。主持人对抢答结果进行确认,随后,计时模块送出倒计时计数允许信号,开始回答问题,计时显示器则从初始值开始以计时,在规定的时间内根据答题的正误来确定加分或减分,并通过数码显示模块将成绩显示出来。当主持人给出倒计时停止信号时,扬声器停止鸣叫。若参赛者在规定时间内回答完为题,主持人可给出倒计时计数停止信号,以免扬声器鸣叫。主持人按下复位键,即RST为高电平有效状态,清除前一次的抢答组别,又可开始新的一轮的抢答。

此抢答器的设计中采用自顶向下的设计思路,运用VHDL硬件描述语言对各个模块进行层次化、系统化的描述,并且先设计一个顶层文件,再把各个模块连接起来。

2.设计框图

图一抢答器总体框图

二、设计步骤和调试过程

1、模块设计和相应模块代码

根据图一抢答器总体框图,本设计可分为以下几个模块:(1)抢答判别模块:它的功能是鉴别六组中是哪组抢答成功并且把抢答成功的组别信号输出给锁存模块。(2)抢答器计分模块:由主持人控制,针对选手的答题情况,进行加分或减分。(3)数据选择模块:主要实现抢答过程中的数据输入功能。(4)显示报警模块:就是把各个模块的输入的不同信号经过译码成BCD码然后直接在数码管上显示,还可以加上蜂鸣器的声音,更能给观众一个准确、简明的数字。(5)译码模块:主要原理是四位二进制BCD编码转换成七段二进制数字。(6)顶层模块:在这个模块中是对前面模块的综合编写的顶层文件。

1、抢答器鉴别模块:在这个模块中主要实现抢答过程中的抢答功能,并能对超前抢答进行警告,还能记录无论是正常抢答还是超前抢答者的台号,并且能实现当有一路抢答按键按下时,该路抢答信号将其余过滤抢答封锁的功能。其中有六个抢答信号 S1、S

2、S

3、S

4、S

5、S6;抢答使能信号EN;抢答状态显示信号 STATES;抢答与警报时钟信号 CLK2;系统复位信号 RST;警报信号 TMP。

图二抢答器鉴别模块图

程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY QDJB IS PORT(RST,EN,CLK2:IN STD_LOGIC;

S1,S2,S3,S4,S5,S6:IN STD_LOGIC;

STATES:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0);

TMP:INOUT STD_LOGIC;

LED:INOUT STD_LOGIC_VECTOR(6 DOWNTO 1));

END QDJB;

ARCHITECTURE ONE OF QDJB IS

SIGNAL CNT:STD_LOGIC_VECTOR(11 DOWNTO 0);

SIGNAL G:STD_LOGIC_VECTOR(6 DOWNTO 1);

BEGIN

P1:PROCESS(S1,S2,S3,S4,S5,S6,EN,RST,CLK2)

BEGIN

IF RST='1' THEN

G<="000000";TMP<='0'; LED<="000000";

ELSIF CLK2'EVENT AND CLK2='1' THEN

IF EN='1' OR EN='0' THEN

IF( S5='1')AND NOT(G(6)='1' OR G(1)='1' OR G(2)='1'OR G(3)='1' OR G(4)='1') THEN

G(5)<='1';LED(5)<='1';

ELSIF( S4='1')AND NOT(G(6)='1' OR G(1)='1' OR G(2)='1'OR G(3)='1' OR G(5)='1') THEN

G(4)<='1';LED(4)<='1';

ELSIF( S3='1')AND NOT(G(6)='1' OR G(1)='1' OR G(2)='1' OR G(4)='1' OR G(5)='1') THEN

G(3)<='1';LED(3)<='1';

ELSIF( S2='1')AND NOT(G(6)='1' OR G(1)='1' OR G(3)='1' OR G(4)='1' OR G(5)='1') THEN

G(2)<='1';LED(2)<='1';

ELSIF (S1='1')AND NOT(G(6)='1' OR G(2)='1' OR G(3)='1' OR G(4)='1' OR G(5)='1') THEN

G(1)<='1';LED(1)<='1';

ELSIF(S6='1')AND NOT(G(1)='1' OR G(2)='1' OR G(3)='1' OR G(4)='1' OR G(5)='1') THEN

G(6)<='1';LED(6)<='1';

END IF;

END IF;

END IF;

TMP<=G(6) OR G(1) OR G(2) OR G(3) OR G(4) OR G(5);

CASE LED IS

WHEN "000001"=>STATES<="0001";

WHEN "000010"=>STATES<="0010";

WHEN "000100"=>STATES<="0011";

WHEN "001000"=>STATES<="0100";

WHEN "010000"=>STATES<="0101";

WHEN "100000"=>STATES<="0110";

WHEN OTHERS=>STATES<="0000";

END CASE;

END PROCESS P1;

END ONE;

2、抢答器记分模块:在这个模块中主要是给六个抢答信号记分,并给每个抢答信号预置 100分,当抢答并答对时加10分,答错减10分,没有获得抢答保持不变。其中有抢答使能信号START;抢答状态显示信号 STATES;记分加减信号 ADD,SUB;六个信号的得分输出信号AA2,AA1,AA0,BB2,BB1,BB0,CC2,CC1,CC0 ,DD2,DD1,DD0 ,EE2,EE1,EE0,FF2,FF1,FF0。

计分模块用VHDL语言进行编程的流程图如下:

图三计分模块的设计状态图

程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY JFQ IS

PORT(START: IN STD_LOGIC;

ADD,SUB: IN STD_LOGIC;

NUM: IN STD_LOGIC_VECTOR(3 DOWNTO 0);

AA2,AA1,AA0,BB2,BB1,BB0: OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

CC2,CC1,CC0,DD2,DD1,DD0: OUT STD_LOGIC_VECTOR(3 DOWNTO 0); EE2,EE1,EE0,FF2,FF1,FF0: OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END ENTITY JFQ ;

ARCHITECTURE ART OF JFQ IS

BEGIN

P1:PROCESS(START,ADD,NUM) IS

VARIABLE POINTS_A2,POINTS_A1: STD_LOGIC_VECTOR(3 DOWNTO 0); VARIABLE POINTS_B2,POINTS_B1: STD_LOGIC_VECTOR(3 DOWNTO 0); VARIABLE POINTS_C2,POINTS_C1: STD_LOGIC_VECTOR(3 DOWNTO 0); VARIABLE POINTS_D2,POINTS_D1: STD_LOGIC_VECTOR(3 DOWNTO 0); VARIABLE POINTS_E2,POINTS_E1: STD_LOGIC_VECTOR(3 DOWNTO 0); VARIABLE POINTS_F2,POINTS_F1: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN

IF (ADD'EVENT AND ADD='1') THEN

IF START='1' THEN

POINTS_A2:="0001"; POINTS_A1:="0000";

POINTS_B2:="0001"; POINTS_B1:="0000";

POINTS_C2:="0001"; POINTS_C1:="0000";

POINTS_D2:="0001"; POINTS_D1:="0000";

POINTS_E2:="0001"; POINTS_E1:="0000";

POINTS_F2:="0001"; POINTS_F1:="0000";

ELSIF NUM="0001" THEN

IF POINTS_A1="1001" THEN POINTS_A1:="0000"; IF POINTS_A2="1001" THEN POINTS_A2:="0000"; ELSE POINTS_A2:=POINTS_A2+'1';

END IF;

ELSE POINTS_A1:=POINTS_A1+'1';

END IF;

ELSIF NUM="0010" THEN

IF POINTS_B1="1001" THEN POINTS_B1:="0000"; IF POINTS_B2="1001" THEN POINTS_B2:="0000"; ELSE POINTS_B2:=POINTS_B2+'1';

END IF;

ELSE POINTS_B1:=POINTS_B1+'1';

END IF;

ELSIF NUM="0011" THEN

IF POINTS_C1="1001" THEN POINTS_C1:="0000"; IF POINTS_C2="1001" THEN POINTS_C2:="0000"; ELSE POINTS_C2:=POINTS_C2+'1';

END IF;

ELSE POINTS_C1:=POINTS_C1+'1';

END IF;

ELSIF NUM="0100" THEN

IF POINTS_D1="1001" THEN POINTS_D1:="0000"; IF POINTS_D2="1001" THEN POINTS_D2:="0000"; ELSE POINTS_D2:=POINTS_D2+'1';

END IF;

ELSE POINTS_D1:=POINTS_D1+'1';

END IF;

ELSIF NUM="0101" THEN

IF POINTS_E1="1001" THEN POINTS_E1:="0000"; IF POINTS_E2="1001" THEN POINTS_E2:="0000";

ELSE POINTS_E2:=POINTS_E2+'1';

END IF;

ELSE POINTS_E1:=POINTS_E1+'1';

END IF;

ELSIF NUM="0110" THEN

IF POINTS_F1="1001" THEN POINTS_F1:="0000";

IF POINTS_F2="1001" THEN POINTS_F2:="0000";

ELSE POINTS_F2:=POINTS_F2+'1';

END IF;

ELSE POINTS_F1:=POINTS_F1+'1';

END IF;

END IF;

END IF;

END PROCESS P1;

P2:PROCESS(START,DEC,NUM) IS

VARIABLE POINTS_A2,POINTS_A1: STD_LOGIC_VECTOR(3 DOWNTO 0); VARIABLE POINTS_B2,POINTS_B1: STD_LOGIC_VECTOR(3 DOWNTO 0); VARIABLE POINTS_C2,POINTS_C1: STD_LOGIC_VECTOR(3 DOWNTO 0); VARIABLE POINTS_D2,POINTS_D1: STD_LOGIC_VECTOR(3 DOWNTO 0); VARIABLE POINTS_E2,POINTS_E1: STD_LOGIC_VECTOR(3 DOWNTO 0); VARIABLE POINTS_F2,POINTS_F1: STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN

IF(SUB'EVENT AND SUB='1') THEN

IF START='1' THEN

POINTS_A2:="0001"; POINTS_A1:="0000";

POINTS_B2:="0001"; POINTS_B1:="0000";

POINTS_C2:="0001"; POINTS_C1:="0000";

POINTS_D2:="0001"; POINTS_D1:="0000";

POINTS_E2:="0001"; POINTS_E1:="0000";

POINTS_F2:="0001"; POINTS_F1:="0000";

ELSIF NUM="0001" THEN

IF POINTS_A1="0000" THEN POINTS_A1:="1001";

IF POINTS_A2="0000" THEN POINTS_A2:="1001"; ELSE POINTS_A2:=POINTS_A2-'1';

END IF;

ELSE POINTS_A1:=POINTS_A1-'1';

END IF;

ELSIF NUM="0010" THEN

IF POINTS_B1="0000" THEN POINTS_B1:="1001"; IF POINTS_B2="0000" THEN POINTS_B2:="1001"; ELSE POINTS_B2:=POINTS_B2-'1';

END IF;

ELSE POINTS_B1:=POINTS_B1-'1';

END IF;

ELSIF NUM="0011" THEN

IF POINTS_C1="0000" THEN POINTS_C1:="1001"; IF POINTS_C2="0000" THEN POINTS_C2:="1001"; ELSE POINTS_C2:=POINTS_C2-'1';

END IF;

ELSE POINTS_C1:=POINTS_C1-'1';

END IF;

ELSIF NUM="0100" THEN

IF POINTS_D1="0000" THEN POINTS_D1:="1001"; IF POINTS_D2="0000" THEN POINTS_D2:="1001"; ELSE POINTS_D2:=POINTS_D2-'1';

END IF;

ELSE POINTS_D1:=POINTS_D1-'1';

END IF;

ELSIF NUM="0101" THEN

IF POINTS_E1="0000" THEN POINTS_E1:="1001"; IF POINTS_E2="0000" THEN POINTS_E2:="1001"; ELSE POINTS_E2:=POINTS_E2-'1';

END IF;

ELSE POINTS_E1:=POINTS_E1-'1';

ELSIF NUM="0110" THEN

IF POINTS_F1="0000" THEN POINTS_F1:="1001";

IF POINTS_F2="0000" THEN POINTS_F2:="1001";

ELSE POINTS_F2:=POINTS_F2-'1';

END IF;

ELSE POINTS_F1:=POINTS_F1-'1';

END IF;

END IF;

END IF;

AA2<=POINTS_A2; AA1<=POINTS_A1; AA0<="0000";

BB2<=POINTS_B2; BB1<=POINTS_B1; BB0<="0000";

CC2<=POINTS_C2; CC1<=POINTS_C1; CC0<="0000";

DD2<=POINTS_D2; DD1<=POINTS_D1;DD0<="0000";

EE2<=POINTS_E2; EE1<=POINTS_E1;EE0<="0000";

FF2<=POINTS_F2; FF1<=POINTS_F1;FF0<="0000";

END PROCESS P2;

END ARCHITECTURE ART;

3、数据选择模块:在这个模块中主要实现抢答过程中的数据输入功能,输入信号 A[3..0]、 B[3..0]、C[3..0]、D[3..0]、E[3..0]、F[3..0];计数输出信号 S;数据输出信号 Y;使能端EN ;计数脉冲 CLK2,实现 A、 B、C 、D、E、F按脉冲轮流选通,在数码管上显示。

程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

ENTITY SJXZ IS

PORT (A,B,C,D,E,F: IN STD_LOGIC_VECTOR(3 DOWNTO 0);

CLK2,RST,EN: IN STD_LOGIC;

S: OUT STD_LOGIC_VECTOR(2 DOWNTO 0);

Y: OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

ARCHITECTURE XZSJ OF SJXZ IS

SIGNAL COUNT: STD_LOGIC_VECTOR (2 DOWNTO 0);

BEGIN

PROCESS(CLK2,RST)

BEGIN

IF(RST='1')THEN

COUNT<="000";

ELSIF(CLK2'EVENT AND CLK2='1')THEN

IF EN='1' THEN

IF(COUNT>="101")THEN

COUNT<="000";

ELSE COUNT<=COUNT+1;

END IF;

END IF;

CASE COUNT IS

WHEN "000"=>Y<=E;

WHEN "001"=>Y<=B;

WHEN "010"=>Y<=C;

WHEN "011"=>Y<=F;

WHEN "100"=>Y<=A;

WHEN "101"=>Y<=D;

WHEN OTHERS=>NULL;

END CASE;

END IF;

END PROCESS;

S<=COUNT;

END XZSJ;

4、报警模块:当某组的选手抢答成功之后,为了让主持人第一时间反应到抢答的成功,系统需要设置一个声响报警装置,来提示主持人对其他选手的抢答信号进行屏蔽。该模块在系统中是十分必要的,声音响起,可以节约不少时间,为比赛的顺利进行争取时间。在这个模块中主要实现抢答过程中的报警功

能,当主持人按下控制键,有限时间内有人抢答,有效电平输入信号WARN;状态输出信号SOUND;复位端RST。

程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

ENTITY ALARM IS

PORT(RST,WARN:IN STD_LOGIC;

SOUND:OUT STD_LOGIC);

END ALARM;

ARCHITECTURE FOUR OF ALARM IS

BEGIN

PROCESS(WARN,RST)

BEGIN

IF RST='1' THEN

SOUND<='0';

ELSIF WARN='1' THEN

SOUND<='1';

ELSE

SOUND<='0';

END IF;

END PROCESS;

END FOUR ;

5、译码模块:该模块实际上是一个译码器,作用是用来显示组别,时间和成绩,其主要原理是四位二进制BCD编码转换成七段二进制数字,以阿拉伯数字的形式输出在数码管上,使观众能够更直观的看到结果。

译码器的译码对照表1如下所示:

表1 译码器的译码对照表

备注:在程序中只考虑0000-1001(即0-9)的情况,将其转化为相应的七段显示器的码子,其他情况不予考虑。

程序:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY YMQ IS

PORT(AIN4: IN STD_LOGIC_VECTOR(3 DOWNTO 0);

DOUT7:OUT STD_LOGIC_VECTOR(6 DOWNTO 0));

END YMQ;

ARCHITECTURE ART OF YMQ IS

BEGIN

PROCESS(AIN4)

BEGIN

CASE AIN4 IS

WHEN "0000"=>DOUT7<="1111110"; WHEN "0001"=>DOUT7<="0110000"; WHEN "0010"=>DOUT7<="1101101"; WHEN "0011"=>DOUT7<="1111001"; WHEN "0100"=>DOUT7<="0110011"; WHEN "0101"=>DOUT7<="1011011"; WHEN "0110"=>DOUT7<="1011111"; WHEN "0111"=>DOUT7<="1110000"; WHEN "1000"=>DOUT7<="1111111"; WHEN "1001"=>DOUT7<="1111011"; WHEN OTHERS=>DOUT7<="0000000";

END CASE;

END PROCESS;

END ART;

6、顶层模块

在这个模块中是对前面模块的综合编写的顶层文件。

程序

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_ARITH.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY QIANGDAQI IS

PORT(CLK,CLK2,S,S1,S2,S3,S4,S5,S6,STOP,RST:IN STD_LOGIC;

N,K,Q_OUT:OUT STD_LOGIC;

M:OUT STD_LOGIC_VECTOR(1 DOWNTO 0);

A,B,C,D,E,F,G:OUT STD_LOGIC);

END QIANGDAQI;

ARCHITECTURE BHV OF QIANGDAQI IS

COMPONENT QDJB IS

PORT(CLK2,RST:IN STD_LOGIC;

S0,S1,S2,S3,S4,S5:IN STD_LOGIC;

TMP:OUT STD_LOGIC;

STATES:OUT STD_LOGIC_VECTOR(5 DOWNTO 0));

END COMPONENT;

COMPONENT JS IS

PORT(CLK,RST,S,STOP:IN STD_LOGIC;

WARN:OUT STD_LOGIC;

TA,TB:BUFFER STD_LOGIC_VECTOR(5 DOWNTO 0));

END COMPONENT;

COMPONENT SJXZ IS

PORT(CLK2,RST:IN STD_LOGIC;

S:OUT STD_LOGIC_VECTOR(1 DOWNTO 0);

A,B,C:IN STD_LOGIC_VECTOR(3 DOWNTO 0);

Y:OUT STD_LOGIC_VECTOR(3 DOWNTO 0));

END COMPONENT;

COMPONENT YMQ IS

PORT(AIN4: IN STD_LOGIC_VECTOR (3 DOWNTO 0);

DOUT7: OUT STD_LOGIC_VECTOR (6 DOWNTO 0));

END COMPONENT;

COMPONENT ALARM IS

PORT(CLK,I:IN STD_LOGIC;

Q:OUT STD_LOGIC);

END COMPONENT;

SIGNAL STATES_OUT,TA_OUT,TB_OUT,Y_OUT:STD_LOGIC_VECTOR(3 DOWNTO 0);

SIGNAL LEDOUT:STD_LOGIC_VECTOR(6 DOWNTO 0);

SIGNAL W:STD_LOGIC;

BEGIN

A<=LEDOUT(6);B<=LEDOUT(5);C<=LEDOUT(4);D<=LEDOUT(3);E<=LEDOUT(2) ;F<=LEDOUT(1);G<=LEDOUT(0);

U1:QDJB PORT MAP(CLK2,RST,S0,S1,S2,S3,TMP=>K,STATES=>STATES_OUT);

U2:JS PORT MAP(CLK,RST,S,STOP,WARN=>N,TA=>TA_OUT,TB=>TB_OUT);

U3:SJXZPORTMAP(CLK2=>CLK2,RST=>RST,S=>M,A=>STATES_OUT,B=>TA_OUT, C=>TB_OUT,Y=>Y_OUT);

U4:YMQ PORT MAP(AIN4=>Y_OUT,DOUT7=>LEDOUT);

U5:ALARM PORT MAP(CLK2,S,Q_OUT);

END BHV;

2、仿真及仿真结果分析

抢答鉴别模块仿真图

图四抢答鉴别模块仿真图抢答器记分模块仿真图

图五抢答器记分模块仿真图数据选择模块仿真图

图六数据选择模块仿真图报警模块仿真图

图七报警模块仿真图

译码模块仿真图

图八译码模块仿真图

顶层文件仿真图

图九顶层文件仿真图

CLK接高电平,s1,s2,s3,s4,s5,s6六个选手接低电平,鉴别器的输出接指示灯,译码器的输出接LED数码管,计分器的输出接显示译码器。当主持人按下使能端时,六个选手同时抢答。如图所示,若s0抢答,即为高电平时,s0灯亮,若回答正确,对其加分,即当时钟出现上升沿时就进行加一或者减一的操作。

3、实验调试结果

按下rst键清零,按下s键,观察数码管是否开始倒计时,扬声器是否发出报警声,按下s1,观察数码管是否显示1和抢答的时间,再按s2,s3,s4,s5,s6均不改变显示,按下rst键,观察是否清零,再按s键,不按别的,直到计时时间到,观察是否显示00,扬声器是否发出报警

第一个按下键的小组,抢答信号判定电路LOCK通过缓冲输出信号的反馈将本参赛组抢先按下按键的信号锁存,并且以异步清零的方式将其他参赛组的锁存器清零,组别显示、计时和计分会保存到主持人对系统进行清零操作时为止。当INI=1时系统复位,使组别显示信号G=0000,各组的指示灯信号S1=0,S2=0,S3=0,S4=0,S5=0,S6=0;当INI=0,即低电平有效,使其进入抢答鉴别状态,到CLK的上升沿到来时,以A组抢答成功为例,当输入信号为A=1,B=0,C=0,D=0,输出信号G=1000,A1=1,即为鉴别出A组抢答成功,同时屏蔽其他组的输

入信号,以免发生错误。

三、结论及心得体会

这次设计的数字抢答器还是比较成功的,虽然在设计的过程中遇到问题,可以说得是困难重重,特别是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,当每一个子模块编写调试成功时,心里特别的开心。同时也感觉总体设计有点小不足,对于软件编程虽然每个模块的程序编译没有错误,但与连接硬件时会出现一点小问题,例如:计分模块,在硬件上的体现不是很明显,按动加减按钮时,不完全按照加减10分的效果,硬件上加减分产生了跳变;对此问题,后来在软件中加入了防抖程序,测试结果还是不尽人意,更换硬件后测试体现的也不是那么完美,但如果操作上小心的话,还是可以观察到加减10分的效果的。

总的来说,通过这次课程设计使我懂得了理论与实际相结合的重要性,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正解决问题、更深刻的认识问题,此过程也提高了自己的实际动手能力和独立思考的能力,以后自身的发展也会因此而受益颇深。

参考资料

[1] 潘松著.EDA技术实用教程(第二版). 北京:科学出版社,2005.

[2] 康华光主编.电子技术基础模拟部分. 北京:高教出版社,2006.

[3] 阎石主编.数字电子技术基础. 北京:高教出版社,2003.

[4] 陈大钦主编. 电子技术基础实验,高等教育出版社。

[5] 彭介华主编. 电子技术课程设计指导,高等教育出版社。

[6] 张原编著. 可编程逻辑器件设计及应用,机械工业出版社。

数字逻辑课程设计方案智力竞赛抢答器逻辑电路设计方案

内蒙古师范大学计算机与信息工程学院《数字电路》课程设计报告 设计题目智力竞赛抢答器逻辑电路设计指导教师戚桂美职称讲师 姓名*** 学号2009******* 日期2011/7/12

智力竞赛抢答器逻辑电路设计 计算机与信息工程学院 2009级 2009******* 指导教师戚桂美讲师 摘要设计一个可以容纳4名选手或4个代表队比赛的抢答器。设置一个系统清除和抢答控制开关S,该开关由主持人控制。抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并将优先抢答选手的编号保持到显示器上,直到主持人将系统清除为止。 关键字抢答器电路图 74LS74 1引言 智力竞赛是一种生动活泼的教育形式和方法,通过抢答和必答两种方式能引起参赛者和观众的极大兴趣,并且能在极短的时间内,使人们增加些科学知识和生活常识。 在进行智力竞赛抢答时,各参赛者考虑好后都想抢先答题。如果没有合适的设备,有时难以分清它们的先后,是主持人感到为难。为了使比赛能顺利进行,需要有一个能判断抢答先后的设备,来做一个公正的裁判员。称之为智力竞赛抢答器。 2设计任务及主要技术指标和要求 2.1 主要的设计指标和要求 主持人没有宣布抢答开始时,抢答不起作用;在主持人宣布抢答开始后,可以进行抢答。它的任务是从若干名参与者中确定出最先的抢答者,立即将其编号锁存,并在LED数码管上显示选手的编号,同时用声和光提示。此外,封锁输入电路,禁止其他选手抢答,优先抢答选选手的编号一直保存到主持人将系统清零为止。为此我们小组决定就这次机会设计一个低成本但又能满足需要的四路智力竞赛抢答器。

2.2 设计任务和要求 (1) 设计一个可以容纳4名选手或4个代表队比赛的抢答器。 (2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。 (3) 抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并将优先抢答选手的编号保持到显示器上,直到主持人将系统清除为止。 3工作原理 接通电源后: 主持人的开关拨到“清除”状态,此时抢答器处于禁止状态,编号显示器处于“0”;主持人将开关设置为“开始”状态,并宣布“开始抢答”,此时抢答器开始工作。 当参加智力竞赛的选手摁下手中的抢答器时,蜂鸣器和LED数码管会用声和光提示,并显示该小组的编号。 只有最先抢答者的编号才能被锁存,并在LED数码管上显示选手的编号,同时用声和光提示。 由以上两个条件可以想到: 用D触发器来实现,D触发器是一个输出跟输入一样的触发器。 将主持人的开关和D触发器的清零端相连。 D触发器是一个在CP脉冲上升沿时反转的触发器。所以只要有一个抢答器输出为1时,就让所有抢答器的脉冲没有上升沿,这样就轻而易举的让其他小组的抢答无效了。 蜂鸣器和LED数码管的提示延续到主持人清零为止,不能在变。 当一轮抢答结束后,主持人将其清零,准备下一轮抢答。

人竞赛抢答器实验报告

数电实验报告 姓名:侯婉思 专业:通信工程 班级:1111 学号: 指导老师:田丽娜 四人竞赛抢答器实验报告 一.前言 现今,形式多样、功能完备的抢答器已广泛应用于电视台、商业机构、学校、企事业单位及社会团体组织中,它为各种知识竞赛增添了刺激性、娱乐性,在一定程度上丰富了人们的业余生活。 对于抢答器我们大家都知道那是用于选手做抢答题时用的,选手进行抢答,抢到题的选手来回答问题。抢答器不仅考验选手的反应速度同时也要求选手具备足够的知识面和一定的勇气。选手们都站在同一个起跑线上,体现了公平公正的原则。 本文介绍了一种用74系列常用集成电路设计的高分辨率的4路抢答器。该抢答器为全数字集成电路设计,具有分组数多、分辨率高等优点。该抢答器除具有基本的抢答功能外,还具有优先能力,定时及复位功能。主持人通过控制开关使抢答器达到复位的功能。 二.实验目的 1. 学习并掌握抢答器的工作原理及其设计方法 2. 熟悉各个芯片的功能及其各个管脚的接法。 3. 灵活运用学过的知识并将其加以巩固,发散思维,提高学生的动手能力和思维的缜密。 三.设计任务与要求 1、设计任务 设计一台可供4名选手参加比赛的竞赛抢答器。选手抢答时,数码显示选手组号。 2.设计要求: 抢答器的基本功能: 1.设计一个智力抢答器,可同时供四名选手或四个代表队参加比赛,编号为一,二,三,四,各用一个抢答按钮,分别用四个按钮S0——S3表示。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管清零)。 3.抢答器具有数据锁存和显示的功能,抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,此外,要封锁输入电路,实现优先锁存,禁止其他选手抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。

智能抢答器的设计与实现

课程设计任务书 学生姓名:王双双专业班级:通信1105 指导教师:李政颖工作单位:武汉理工大学 题目: 智能抢答器的设计与实现 初始条件: 本设计既可以选用集成电路:74LSl48,74LS279,74LS48,74LSl92,NE555,74LS00,74LSl21 和其它器件等,实现八路定时抢答功能;又可以使用单片机系统构建多路数字定时抢答器。要求 用蜂鸣器和光电二极管作声光报警器件,工作电源Vcc为+5V。 要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求) 1、课程设计工作量:1周。 2、技术要求: 1)可同时供8名选手(或代表队)参赛,其编号分别是0到7,各用一个抢答按钮,按钮的编号 与选手的编号相对应;给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 2)抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并 在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其 它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 3)抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定(如30秒)。当节目主持人启 动“开始”键后,要求定时器立即进行减计时,并用显示器进行显示,同时扬声器发出短暂的声 响,声响持续时间0.5秒左右。 4)参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和 抢答时刻的时间,并保持到主持人将系统清零为止。 5)如果定时抢答的时间已到,却没有选手抢答时,本次抢答无效,系统进行短暂的报警,并封锁 输入电路,禁止选手超时后抢答,定时显示器上显示00。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用 A4纸打印,图纸应符合绘图规范。 时间安排: 1、2013年5 月17日,布置课设具体实施计划与课程设计报告格式的要求说明。 2、2013 年 5 月18 日至2013 年6 月20 日,方案选择和电路设计。 3、2013 年6 月21 日至2013 年7 月1 日,电路调试和设计说明书撰写。 4、2013年7月2日,上交课程设计成果及报告,同时进行答辩。 指导教师签名:年月日 系主任(或责任教师)签名:年月日

数电智力竞赛抢答器课程设计完全版

电子技术 课程设计 成绩评定表 设计课题:智力竞赛抢答器 学院名称:电气工程学院 专业班级:电气1503 学生:段帅朋 学号: 201523010310 指导教师: 设计地点:31-220 设计时间: 2017.6.26-2017.7.2

电子技术课程设计任务书

目录 1.绪论 (3) 1.1设计目的 (3) 1.2 设计要求 (3) 2.方案设计 (3) 2.1系统工作流程图 (3) 2.2 元器件清单 (4) 2.3主要元器件选择与分析 (5) 2.3.1 轻触开关 (5) 2.3.2 74LS192计数芯片 (5) 2.3.3共阴极数码管以及其驱动芯片74LS48 (6) 2.3.4 74LS175四路D触发器 (7) 2.3.5 555定时器 (8) 2.3.6 集成门电路 (8) 2.3.7 无源蜂鸣器 (8) 3.原理分析 (9) 3.1 抢答必答模式选择及其指示电路 (9) 3.2 抢答电路 (9) 3.3 脉冲产生电路 (10) 3.4单稳态定时电路 (11) 3.5 定时电路 (12) 3.6 音响电路 (13) 3.7整机电路分析 (13) 3.8加减分数电路 (14) 4.设计总结 (15)

1.绪论 1.1设计目的 1、注重培养学生正确的设计思想,掌握课程设计的主要容、步骤和方法。 2、巩固加深对电子技术基础知识的理解,培养学生发现问题、独立分析问题、解决问题,提高综合运用所学知识的能力。 3、通过查找资料、选方案、设计电路、写报告等环节的训练,熟悉设计的过程、步骤。为以后从事电子电路设计、研制电子产品打下基础。 4、了解电子线路设计的工程,学会书写设计说明书。 5、培养学生严肃、认真的科学态度和工作作风。 6、在课余实践,有效地激发学生对电子设计的兴趣,丰富课外生活。 7、培养学生自主学习能力,扩展知识面。 8、提高动手能力的同时对常用的集成芯片有一定的了解,在电路设计方面有感性的认识。 9、另外还要掌握电路原理和分析电路设计流程,每个电路的设计都要有完整的设计流程。这样才能在分析电路有良好的思路,便于找出错的原因。 1.2 设计要求 用TTL或CMOS集成电路设计智力竞赛抢答器逻辑控制电路,具体要求如下: 1. 抢答组数为4组,输入抢答信号的控制电路应由无抖动开关来实现。 2. 判别选组电路。能迅速、准确地判处抢答者,同时能排除其它组的干扰信号,闭锁其它各路输入使其它组再按开关时失去作用,并能对抢中者有光、声显示和呜叫指示。 3. 计数、显示电路。每组有三位十进制计分显示电路,能进行加/减计分。 4. 定时及音响。必答时,启动定时灯亮,以示开始,当时间到要发出单音调“嘟”声,并熄灭指示灯。抢答时,当抢答开始后,指示灯应闪亮。当有某组抢答时,指示灯灭,最先抢答一组的灯亮,并发出音响。也可以驱动组别数字显示(用数码管显示)。 2.方案设计 2.1系统工作流程图 系统流程图如2.1所示,控制电路是核心组成部分,它控制抢答电路、音响电路、指示灯电路以及定时电路。主要由门电路与门、与非门、或门等实现控制逻辑。主持人和参赛选手都是通过按钮输入控制信号到控制电路,通过控制电路的逻辑实现对各个模块的控制。1K脉冲主要用于触发器时钟,秒脉冲主要用于计时器。

竞赛抢答器设计报告

一、设计内容: 为了保证抢答竞赛的公正性、准确性、提高竞赛得分的透明性并能产生激烈的竞赛气氛,要求由电子电路实现一个多人参赛,具备限时开始抢答, 限时结束抢答, 抢答结束后有声、光指示并能加/减计分的“竞赛抢答器”。 二、主要要求及指标: 1. 设一个主持人按键, 供主持人宣布抢答开始. 抢答开始后, 四个抢答 按键才有效, 同时启动限时定时器。 2. 设四个抢答按键供四人抢答使用,第一个抢答键按下后要锁住抢答器, 并用声、光指示,要显示是几号按键抢到。后按的抢答键不起作用。 3. 安排倒计数定时器。开始后若预定时间内无人抢答,自动给出信号停止 抢答。倒计数定时器的时间可以随意预置。倒计数计数脉冲要准确。 4.设两个计分按键,加/减由主持人控制。 5.每组安排一个三位加/减可逆计数器实现计分,从预置的100分开始,答对者加10分,答错则扣10分。 三、设计思路及电路工作原理: 设计思路及原理 根据要求可以将电路分为三大部分,控制抢答结果的抢答电路;负责倒计时的倒计时电路;完成分数加减的计分电路。其中抢答电路最为重要,倒计时电路与计分电路都由抢答电路控制,倒计时电路与计分电路有很多相似的地方所用的芯片应该相似,关键在于如何将三个电路联系在一起。 抢答电路要实现的功能是在主持人开关控制下能够让四个选手抢答,并且在第一个人抢答之后其他人不能抢答。这就要求电路能够进行优先编码并且能够锁存优先编码。编码器使用74LS148能够实现四位的抢答,要实现锁存只需要将该芯片的使能端EI变成高电平。能够让主持人控制编码器可以通过基本RS触发器或者与非门实现,但是后者显然逻辑会比较复杂所以放弃。 倒计时电路,可以通过秒脉冲触发计数器的使其实现倒计时,同时要在有选手抢答后停止倒计时,就需要计数器有一个类似使能端的端口。使用74LS192芯片可以将秒脉冲接入DOWN端UP端接高电平能够实现单一的倒计时。需要倒计时停止的时候,可以给CLR端输入高电平,此时计时器清零。同时也可以使用

智力竞赛抢答器设计报告(DOC)

数字电路课程设计智力竞赛抢答器设计报告

目录 一、设计题目 (1) 二、设计要求与设计说明 (1) 三、课题分析与设计说明 (2) 四、设计思路及原理 (2) 五、单元设计及实现 (3) 1、抢答信号产生电路 (3) 2、编码电路 (3) 3、锁存电路 (4) 4、译码电路 (5) 5、延时电路 (6) 6、振荡电路 (7) 六、总体设计及实现 (9) 七、调试仿真 (10) 八、零件表 (12) 九、设计总结 (13) 十、参考资料 (13)

一、设计题目 智力竞赛抢答器 二、设计要求与设计说明 1、最多可以容纳5名选手或5个代表队参加比赛,他们的编号分别为1、 2、 3、 4、5,各用一个抢答按钮,其编号与参赛者的号码一一对应,此外,还有一个按钮给主持人用来清零,这些按钮(共六个)均采用自制的触摸按钮。 2、抢答器具有数据锁存功能,并将所锁存的数据用LED数码管显示出来。主持人将抢答器清零后,若有参赛者的手指触及抢答器触摸按钮,数码管立即显示出最先动作的选手的编号,同时蜂鸣器发出间歇式声响,声音持续时间约一秒钟。 3、抢答器对参赛选手动作的先后有很强的分辨能力。即使他们动作的先后只相差几毫秒,抢答器也能分辨出来。也就是说,数码管不显示后动作选手的编号,只显示先动作选手的编号并保持到主持人清零为止。 4、在各抢答按钮为常态时,主持人可用清零按钮将数码管变为零态,直至有人使用抢答按钮为止。 5、画出总体电路图并列出元器件清单。

三、课题分析与设计说明 智力竞赛抢答器的抢答部分由抢答信号产生电路、编码电路组成,锁存和显示最先动手选手编号的功能由锁存电路、译码电路组成,延时电路在按钮按下后提供一个约一秒的电平信号,经振荡电路振荡送至蜂鸣器,使蜂鸣器产生约一秒的提示音。主持人清零功能由信号产生电路和锁存电路共同实现。 四、设计思路及原理 模块化电路:方便电路安装和调试。 将电路分为抢答信号产生电路、编码电路、锁存电路、译码电路、延时电路、振荡电路。 抢答信号产生电路是一组自恢复按钮,提供用以编码的电平信号,编码电路实现将按钮编号编码为二进制数,锁存电路实现对编码的二进制数的锁存,以及将二进制数输入译码电路,译码电路实现将二进制数译码并显示在数码管上,延时电路在按钮按下后产生一个约一秒的电平信号,触发振荡电路,振荡电路起振,蜂鸣器产生约一秒的间断提示音。

抢答器实验报告要点

扬州大学能源与动力工程学院本科生课程设计 题目:四人智力竞赛抢答器 课程:数字电子技术基础 专业:电气工程及其自动化 班级:电气0901 学号:091302111 姓名:冯承超 指导教师:年漪蓓蒋步军 完成日期: 2011年6月24日

总目录第一部分:任务书 第二部分:课程设计报告 第三部分:设计图纸

第一部分 任 务 书

《数字电子技术基础》课程设计任务书 一、课程设计的目的 1、使学生进一步掌握数字电子技术的理论知识,培养学生工程设计能力和综合分析问题、解决问题的能力; 2、使学生基本掌握常用电子电路的一般设计方法,提高电子电路的设计实验能力; 3、熟悉并学会选用电子元器件,为以后从事生产和科研工作打下一定的基础。 二、课程设计的要求 1、设计时要综合考虑实用、经济并满足性能指标要求; 2、必须独立完成设计课题; 3、合理选用元器件; 4、按时完成设计任务并提交设计报告。 三、课程设计进度安排 1、方案设计;(半天) 2、电路设计:(一天) 3、装配图设计:(半天) 4、电路制作:(两天) 5、总结鉴定:(一天) 四、设计要求 1用中小型规模集成电路设计出所要求的电路; 2、在实验箱上安装、调试出所设计的电路。 3、部分课题要求用可编程逻辑器件(FPGA/CPLD)设计实现; 4、在EDA编程实验系统上完成硬件系统的功能仿真。 5、写出设计、调试、总结报告。 五、使用仪器设备 1、稳压电源(±5V,±15V); 2、实验电路箱; 3、低频信号发生器; 4、示波器。 六、设计总结报告主要内容 1、任务及要求; 2、方案特点; 3、各组成部分及工作原理(应结合框图写); 4、单元电路设计与调试; 5、总逻辑图; 6、总装配图。

数字逻辑课程设计十路抢答器

数字逻辑系统 课程设计 项目:十路智力竞赛抢答器 班级: 09电子A班 姓名:刘金梁 学号: 0915211039 题目及要求: 题目4多路智力竞赛抢答器 一、任务 设计一个多路智力竞赛抢答器。 二、设计要求 1、基本要求 <1)设计一个4路<1~4)智力竞赛抢答器,主持人可控制系统的清零和抢答的开始,控制电路可实现最快抢答选手按键抢答的判别和锁定功能,并禁止后续其他选手抢答。<2)抢答选手确定后给出一声音响的提示和选手编号的显示,抢答选手的编号显示保持到系统被清零为止。 2、发挥部分 <1)扩展为10路<1~10)智力竞赛抢答器。 <2)设计抢答最长时间<30秒)限制和倒计时显示。 1)根据题目要求设计系统总框图及总原理图如下:

下面分模块对各个部分进行方案选取和论证: 1.抢答按钮 抢答顾名思义就是要求快速,方便,故选用微动开关,而不选用别扭的拨动开关。 2.译码电路及数码显示 译码电路主要有两种,一种是用芯片进行译码,比如74ls148(8-3译码器>,可用两片组合成16-4译码器,选取其中10路。下图为四路采用148进行译码的范例 另一种是利用加二极管防止反向然后直接连接到4511等七段显示译码器如下图

个人认为第二种方法更简单、便捷,故采取第二种。 3.锁存器 锁存器采用74ls74 D触发器,经过4个或门处理 当有按键按下去的时候置高,从而 D 触发器5 端输出为高电平反馈到 4511 的 5 端<使能端),从而实现锁定功能。 4.报警电路

因为要求抢答报警时只能响一声,故用555另配合电阻、电容可形成大约1秒 单稳触发器,因为 低脉冲的时候触发 而按键按下置高, 故需加一反向器, 用或非74ls02也可。 T=RC*ln3=1.1RC,故电阻取10u电阻取100k。 5.减数及译码电路 要产生1hz的秒脉冲,同样选用555定时器,接法如下电路, 故选用电容100u,则计算出=14.3k,选用 R1=4.3K,R2=5K。减计数芯片选用十进制74ls192,接法如下,把秒脉冲输入到底下那片的4脚,计数十次后在13脚会产生一个脉冲,输入到上面那片,而上面那片从3减到0后13脚也产生一个负脉冲输入74ls74的清零端,并使74的输出负端接到计数器的置数端使之一直置数,认为倒计时结束,显示部分仍用4511译码显示 6.主持人开关

数字式竞赛抢答器设计报告

数字式竞赛抢答器设 计报告 1、设计任务与要求 (1)设计一个可容纳7组参赛的数字式抢答器,每组设一个按钮,供抢答使用。 (2)抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。 (3)设置一个主持人“复位”按钮。 (4)主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,由指示灯显示抢答组的编号,同时扬声器发出2~3秒的音响。 选做扩展功能: (5)设置一个计分电路,每组开始预制100分,由主持人计分,答对一次加10分,答错一次减10分。 2、设计原理

定时抢答器的总体框图如图1所示,它由主体电路和扩展电路两部分构成,主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成各选手的得分显示功能。 定时抢答器的工作过程是:接通电源时,主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯;抢答开始时,主持人将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,这时,抢答器完成以下工作:(1)优先编码器电路立即分辨出抢答者编号,并由锁存器进行锁存,然后由译码显示电路显示编号;(2)扬声器发出短暂声响,提醒主持人注意;(3)控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;(4)当选手将问题回答完毕,主持人操作计分开关,计分电路采用十进制加/减计数器、数码管显示。本轮抢答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。 单元电路设计: (1)抢答电路 抢答电路包括抢答按钮、优先编码电路、锁存器、译码显示电路。抢答电路的功能有两个:一是能分辨出选手按键的先后,并锁存优先抢答者的编号,供译码显示电路用;二是要使其他选手的按键操作无效。

四人抢答器实验报告

福州大学电气工程与自动化10级 设计性实验报告 实验目的: 1、掌握电路板焊接技术; 2、学习调试系统电路,提高实验技能; 3、了解竞赛抢答器的工作原理及其结构。 实验所用原件清单: 芯片:74LS175,74LS192,74LS48x2,74LS00,74LS20x2,555,电阻:

R1=1K,R2=10K,电容:C=0.1μF,七段共阴极数码管x2 原理(包括主要公式、电路图): 如下图所示为四人抢答电路,电路中的主要器件是74LS175型四上升沿D触发器、74LS192可逆十进制计数器和两个译码显示电路。 抢答前先用RD’清零,Q1~Q4均为0,相应的选手编号数码管显示0;Q1’~Q4’均为1,G1输出0,G2输出1,CP1可经过G3输入到74LS175。同时,倒计时数码管被置为9。抢答开始,RD’置1,倒计时开始。若S1首先按下,则D1和Q1均变为1,相应的选手编号数码管显示1(以此类推);555芯片的4脚接收到高电平,发生振荡,导致喇叭发出声音;同时,G2输出为0,使得175和192芯片不接收脉冲,175芯片进入自锁状态,此时再按S1~S4无效果,而192芯片也停止计时,倒计时数码管保持抢答时的数字不变。若倒计时到0,S1~S4均未按下,则倒计时停止,倒计时数码管保持0;175芯片进入自锁状态。 利用RD’清零,进入下一次抢答。

心得体会及其他: 1、本次设计性实验令我受益匪浅:在设计的过程中,对于各个芯片管脚功能和四路抢答电路原理的学习让我得到了更多知识;对电路板的元件布局锻炼了我的思维能力;在焊接过程中,我又一次提高了我的焊接技术和排查短路、虚焊的能力;在调试过程中,我懂得了分功能、局部进行故障排查,并取得良好效果。 2、故障排查: (1)、电路板电源与地线短路:可逐个对接电源、地的点进行排查(排查时应把它们和响应的电源或地断开)。 (2)、数码管个别段不能发光:怀疑为数码管管脚之间短路,可用电烙铁肃清两脚之间的间隙。 (3)、抢答功能不能实现:抢答功能局部电路接错或虚焊,可用

智力竞赛抢答器设计

智力竞赛抢答器设计

项目任务书题目:智力竞赛抢答装置的设计与调试 系部电子信息工程学院 学科门类工学 专业光电信息工程 2014年12月15日

智力竞赛抢答装置的设计与调试 摘要 随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。抢答 器作为一种工具,已广泛应用于各种智力和知识竞赛场合。但抢答器的使用频率较低,且有的要么制作复杂,要么可靠性低,减少兴致。做为一个单位若专购一台抢答器虽然在经济上可以承受,但每年使用的次数极少,往往因长期存放使(电子器件的)抢答器损坏, 再购置的麻烦和及时性就会影响活动的开展,因此设计了本抢答器。 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。 关键词:抢答电路定时电路报警电路时序控制

目录 一引 言 (1) 1.1课题来源及意义 (2) 1.2 研究现状及趋 势 (3) 二系统设计 (4) 2.1概述 (5) (阐述抢答器的系统原理,包括综述,组成框图及各部分介绍) 2.2方案比较 (6) (总结各个方案的优缺点,比较几种方案) 2.3 方案确定 (7) (通过上节内容中的几种方案的比较,得出最优方案,并详细介绍)三电路设计与调试 (8) (包括单元电路设计,参数计算,元器件选型,最终得出总电路图,并阐述调试方法与过程)

四总结与展 望 (9) (总结你的设计方案的优缺点,并提出改进方案) 4.1总结 (10) 4.2展 望 (11) 参考文献 (12) 附录 (13) (附系统总体电路图,用正规软件绘制)

课程设计三路抢答器

三人抢答计时器 一、摘要 智力竞赛抢答计时器是一名公正的裁判员,它的任务是从若干名参赛者中确定出最先的抢答者,并要求参赛者在规定的时间里回答完问题。 二、设计要求 1.设计一个三人参加的智力竞赛抢答计时器。 2.当有某一参赛者首先按下抢答开关时,相应显示灯亮并伴有声响。此时,抢答器不再接收其他输入的信号。 3.电路具有回答问题时间控制功能。要求回答问题的时间小于等于100秒(显示0~99),时间显示采用倒计时方式。当达到限定时间时,发出声响以示警告。 三、给定条件及元器件 1.要求电路主要选用中规模CMOS集成电路CC 4000 系列。 2.电源电压为5 ~ 10 V 。 3.本设计要求在数字电路实验箱上完成。 四、设计内容 1.电路各部分的组成和工作原理。 2.元件器的选取及其电路图和功能。 3.电路各部分的调试方法。 4.在整机电路的设计调试过程中,遇到什么问,其原因及解决的办法。 五、电路组成和工作原理

图(一) 根据上面所说的功能要求,智力竞赛抢答计时系统的组成框如图(一)所示。 它主要由六部分组成; 1、抢答器——是三人抢答计时器的核心。当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二级管亮(或音响电路发出声音),与此同时,封锁住其他参赛者的输入信号。 2、抢答控制电路——由三个开关组成。三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。 3、清零装置——供比赛开始前裁判员使用。它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。 4、显示声响电路——比赛开始,当某一参赛者按下抢答器开关时,触发器接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。 5、计时显示声响电路——是对抢答者回答问题时间进行控制的电路。若规定回答问题时间小于等于100秒(显示为0—99),那么显示装置应该是一个二位数字显示的计数系统。 6、振荡电路——它应该提供给抢答器,计时系统和声响电路工作的控制脉冲。

数电课程设计八路智力竞赛抢答器设计

数电课程设计八路智力竞赛抢答器设 计

课程设计任务书 题目: 八路智力竞赛抢答器设计 初始条件: ◆教材:《电子线路设计·实验·测试》第三版谢自美主编华中 科技大学出版社 ◆元器件:74LS48三片,72LS192两片,74LS279、74LS148、 74LS00、74LS11、555各一片,数码管三个,发光二极管一个,开 关、电阻、电容若干,面包板,导线若干 ◆仿真:Proteus仿真软件 要求完成的主要任务: (包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) ◆多路智力竞赛抢答器功能要求: 基本功能: 1.设计一个智力竞赛抢答器,可同时供8名选手或8个代表队参加比赛,她们的编号分别是0、1、2、3、4、5、6、7,各用一个抢 答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、 S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭灯)和抢答的开始。

3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编 号,同时扬声器给出音响提示。另外,要封锁输入电路,禁止其 它选手抢答。优先抢答选手的编号一直保持到主持人将系统清零 为止。 扩展功能: 1.抢答器具有定时抢答的功能,且一次抢答的时间能够由主持人设定。当节目支持人按下“开始”按钮后,要求定时器立即倒计 时,并在显示器上显示,同时扬声器发出短暂的声响,声响持续 时间0.5s左右。 2.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系 统清零为止。 3.如果定时抢答的时间已到,却没有选手抢答,则本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显 示器上显示00. 报告要求: 课程设计的内容要求用A4纸打印,且页数不得少于20页。 时间安排:

八路智力竞赛抢答器设计实验报告

数字电子技术课程设计 题目: 八路智力竞赛抢答器设计 姓名: 专业: 电子科学与技术 班级: 122班

学号: 指导教师: 20 年月日 安徽科技学院理学院

八路智力竞赛抢答器设计 一、课程设计题目(与实习目的) (一)、题目:八路智力竞赛抢答器设计 (二)、实习目的: 1.进一步掌握数字电路课程所学的理论知识。 2.熟悉几种常用集成数字芯片的功能和应用,并掌握其工作原理,进一步学会使用其进行电路设计。 3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。 4.培养认真严谨的工作作风和实事求是的工作态度。 5.数点课程实验是大学中为我们提供的唯一一次动手实践的机会,增强动手实践的能力。 二、任务和要求 实现抢答器的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成抢答器系统。 (1)抢答器设计要求 设计一个抢答器,基本要求: 1. 抢答器可以实现基本抢答;可同时供8名选手或8个代表队参加比赛,他们 的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与 选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 2.给节目主持人设置一个控制开关,用来控制系统的清零(编号显示数码管灭 灯)和抢答的开始。 3.抢答器具有数据锁存和显示的功能。抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外,要封锁输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清零为止。 三、总体方案的选择 (1)总体方案的设计 针对题目设计要求,经过分析与思考,拟定以下二种方案: 方案一:该方案是将抢答按钮先直接与锁存器而不是优先编码器相连,将最先抢答的选手的编号锁定,再依次经过优先编码器、译码器和七段显示器,最后显示的是抢答选手的编号,经过优先编码器后的信号到单稳态触发器,单稳态触发器又与报警电路直接连接,所以显示编号的同时可以发出报警信号。另外由主持人控制开关和其他部分电路通过门电路实现对抢答电路、定时电路和报警部分电路的控制。 主体框图如下:

八路智能竞赛抢答器设计课程设计

八路智能竞赛抢答器设计课程设计

八路智能竞赛抢答器设计 课程设计任务书 学生姓名:专业班级:通信0706班 指导教师:工作单位:信息工程学院 题目: 八路智能竞赛抢答器设计 初始条件: 要求完成的主要任务: 1、抢答器电路组成及工作原理 2、定时器电路组成及工作原理 3、报警及时序控制电路组成及工作原理 4、仿真结果分析 时间安排: 第20周,安排任务(鉴3-302,1.14星期一上午1~4节) 第20-21周,绘图仿真设计(鉴主13楼计算机实验室1) 第21周,完成(答辩,提交报告,演示) 指导教师签名:年月日 系主任(或责任教师)签名:年月日

目录 摘要 (1) 1. 抢答器的功能要求 (2) 1.1基本功能 (2) 1.2扩展功能 (2) 2. 方案论证与比较 (2) 2.1方案一:采用数字电路 (3) 2.2方案二:采用单片机 (3) 2.3方案三:采用PLC (4) 2.4方案比较 (4) 3.总体设计原理与各部分单元电路的设计 (5) 3.1数字抢答器总体具体方框图 (5) 3.2各部分单元电路的设计 (6) 3.3完整电路图设计 (9) 4电路调试 (9) 4.1抢答器电路 (9) 4.3秒脉冲发生电路 (11) 4.4时序控制电路 (12) 4.4报警电路 (13) 4.5整体电路调试 (14) 5仿真结果分析 (15) 5.1抢答电路仿真 (15)

5.3总体电路仿真 (16) 6心得与体会 (18) 7参考资料 (19) 8附录:电路中的元件介绍 (20) 8.1 优先编码器74LS148 (20) 8.2译码器74LS48 (21) 8.3同步十进制可逆计数器74LS192 (23) 8.4 555芯片 (25)

8位竞赛抢答器课程设计

目录 1. 原理分析(by 张潇) (2) 1.1 设计任务 (2) 1.2 性能指标 (2) 1.3 工作原理 (2) 2. 方案选择(by 张潇) (3) 2.1 方案设定 (3) 2.2 方案比较 (3) 2.3 方案选择 (3) 3. 电路原理图绘制及仿真(by 王倩) (3) 3.1 所需元器件型号及数量 (3) 3.2 电路原理图 (4) 3.3 电路仿真结果 (5) 4. Pcb图绘制(by 朱文广) (5) 4.1 pcb绘制步骤 (5) 4.2 pcb绘制原则 (5) 4.3 8路抢答器pcb图 (7) 5. 综合调试(by 朱文广) (7) 5.1 软件调试 (7) 5.2 硬件调试 (9) 6. 总结(by 王倩) (10) 附录1:电路仿真图 (11) 附录2: 8路抢答器完整程序 (12)

1.1 设计任务 以单片机为核心,设计一个8位竞赛抢答器,同时供8名选手或8个代表队比赛。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。 抢答器具有定时抢答功能,且一次抢答的时间可由主持人设定。 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。 1.2 性能指标 电源电压:直流5V±10% 选手组数:2-8组 初始抢答倒计时:20s 初始回答倒计时:30s 倒计时范围:1-99s可设 倒计时提示时间:最后5s 1.3 工作原理 八路数字抢答器原理框图如图1所示,其工作原理为:接通电源后,主持人未按下开始抢答,抢答器处于禁止状态,数码管显示“----”;主持人宣布“开始”同时按下开始抢答按键,抢答倒计时开始计时,扬声器给出声响提示。选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。当一轮抢答之后,回答倒计时开始计时、禁止二次抢答、数码管显示抢答选手编号以及回答剩余时间。如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。 图1 八路抢答器原理框图

智力抢答器实验报告

单片机课程设计 实 验 报 告 班级:通信工程13-2班 学号:2013211576 姓名:陈立 指导老师:王琼 2016年6月20日~2016年7月4日

合肥工业大学课程设计任务书

设计课题智力竞赛抢答器 一课题背景 随着科学技术的不断发展,促使人们学科学、学技术、学知识的手段多种多样。抢答器作为一种工具,已广泛应用于各种智力和知识竞赛场合。目前大多数抢答器均使用单片机及外围接口实现,基于单片机的智力竞赛抢答器系统框图如下图所示。 抢答开关电路分别设定选手抢答键若干个、主持人开始抢答键和复位键,每位选手对应一个抢答指示灯。系统工作后,首先由主持人按下开始键,单片机进入30秒倒计时,选手们开始抢答,如果在30秒内无人抢答,则自动放弃,进入下一题;若有人抢答,则单片机自动检测最先抢答选手信息并做出处理,封锁输入电路,禁止其他选手抢答,使选手对应的抢答指示灯点亮,LED显示器上同步显示抢答选手的编号,同时扬声器发声提示,表示抢答成功。此后,单片机进入90秒回答问题倒计时,若选手在90秒内回答完问题并回答正确,主持人按加分键,LED显示器上显示选手的得分;若选手回答完问题超时或回答错误,则主持人按减分键,LED显示器上显示选手的得分。主持人按下复位键,系统返回到抢答状态,进行下一轮抢答。 在主持人按下开始抢答键前,有选手提前按下抢答键时,视为抢答犯规,系统红色报警灯点亮,LED显示器显示超前抢答报警信息,同时扬声器发声提示抢答犯规。当有几位选手同时按下抢答键时,由于在时间上必定存在先后,系统将

自动锁存最先按键选手信号。 二课题设计要求 (1)基本要求 本课题硬件部分要求画出系统模块连接图,在实验平台上设计组成智力竞赛抢答器系统,并在其上调试自己设计、编制的程序,直到正确、完善达到要求为止。在软件程序设计方面,要完成以下基本内容:设计编写系统各模块的软件程序并调试通过,画出各程序模块的流程图。实现数据(选手编号)锁存和显示、超前抢答报警、抢答时间和回答问题时间倒记时显示、扬声器发生提示等基本功能。 (2)附加要求 附加要求则是根据学生学习单片机掌握和运用的情况选作,这给同学们开动脑筋发挥自己的创造性思维留出了空间。系统还可以增加以下功能: ①支持人可根据题目难易程度设置抢答限时时间、答题限时时间,而不是采用固定的抢答限时时间和答题限时时间。抢答限时时间和回答问题的时间设定在1~99秒内,通过键盘进行加减。 ②抢答限时倒计时和答题限时倒计时在达到最后5秒时进行声光报警,提示选手抢答剩余时间答题剩余时间。扬声器每秒响一次,红色发光二极管闪烁点亮,频率为0.5Hz。 ③抢答倒计时到达0时,报警,并锁定抢答开关禁止选手抢答。 ④增加计分功能,当答题结束后,根据选手的答题情况给选手进行相应的加减分;查询功能,实现每位选手的分值查询。 本次课题设计完成以上全部基本要求和附加要求,符合单片机课程设计的基本要求,并在此基础上额外实现了按键时响铃提示功能,具体要求实现以及操作见报告后半部分。

多路智能竞赛抢答器设计

课程设计任务书 学生:专业班级: 指导教师:工作单位: 题目: 多路智能竞赛抢答器设计 初始条件:74LS48 3片,74LS279 1片,74LS1481片,74LS192 2片,74LS90 2片,LM555 1片,74LS121 1片,74LS00 1片,74LS32 1片,74LS08 1片,电阻若干,电容3个,开关11个,蜂鸣器1个,LED 2个,三极管2N3096 1个,导线若干。 要求完成的主要任务: 1.基本功能 ①设计一个智力竞赛抢答器,可以同时供8名选手或8个代表队参加 比赛,他们的编号分别是0、1、2、3、4、5、6、7,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S0、S1、S2、S3、S4、S5、S6、S7。 ②给节目主持人设置一个控制开关,用来控制系统的清零(编号显示 数码管灭灯)和抢答的开始。 ③抢答器具有数据锁存和显示功能。抢答开始,若有选手按动抢答按 钮,编号立即锁存,并在LED数码管上显示出选手的编号,同时扬声器给出音响提示。此外要封存输入电路,禁止其他选手抢答。优先抢答选手的编号一直保持到系统清零为止。 2.扩展功能 ①抢答器具有定时抢答的功能,且一次抢答的时间可以由主持人设定, 档节目主持人按下“开始”按钮后,要求定时器立即倒计时,并在显示器上显示,同时扬声器发出短暂声响,声响持续0.5S左右。

②参赛选手在设定的时间抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答时刻的时间,并保持到主持人将系统清零为止。 ③如果定时抢答时间已到,去没有选手抢答,则本次抢答无效,系统短暂报警,并封存输入电路,禁止选手超时后抢答,时间显示器上显示00。时间安排: 第19周理论设计、实验室安装调试,地点:鉴主17楼九号实验室 指导教师签名:年月日 系主任(或责任教师)签名:年月日

单片机课程设计八位竞赛抢答器的设计

单片机原理及接口技术 课程设计 八位竞赛抢答器的设计 姓名: 学号: 指导教师: 院系(部所):机电工程学院 专业:机械设计制造及其自动化 完成日期:2012年12月20日

摘要 随着单子技术的飞速发展,基于单片机的控制系统已广泛应用与工业、农业、电力、电子、智能楼宇等行业,微型计算机作为嵌入式控制系统的主体与核心,代替了传统的控制系统的常规电子线路。本设计是以八路抢答为基本理念。考虑到需设定限时回答的功能呢个,利用AT89C51单片机及外围接口实现的抢答系统,利用单片机的定时器/计数器定时和记数的原理,将软、硬件有机地结合起来,使得系统能够正确地进行计时,同时使数码管能够正确地显示时间和抢答的号码。用开关做键盘输出,扬声器发生提示,并且有警告灯显示,正常工作时为绿灯,报警或抢答等违规信号时则出现红灯。 关键词:AT89C51;抢答器;计数器

目录 1概述 (1) 2 抢答器的硬件系统设计 (3) 2.1 系统整体方案设计 (3) 2.2 系统硬件组成 (3) 3 最小系统与主控模块的设计与实现 (5) 3.1 单片机最小硬件系统的组成简述 (5) 3.1.1 电源电路 (5) 3.1.2 时钟电路 (6) 3.1.3 复位电路 (7) 3.2 主流程图 (8) 4 模块的设计与实现 (9) 4.1 抢答电路的设计 (9) 4.2 锁存器74HC573 (9) 4.3 主持人控制电路与扬声器的设计...................... 错误!未定义书签。 4.4 显示电路的设计.................................... 错误!未定义书签。 5 软件的设计 (12) 5.1语言选择 (12) 5.2软件总体设计 (12) 总结 (13) 参考文献 (15) 致谢 (16) 附录 (17)

多路智力竞赛抢答器设计实验报告材料

课程设计报告

多路智力竞赛抢答器设计 目录 一前言 (1) 1设计内容及要求 (1) 2实验内容及方法 (2) 3工作过程简介 (2) 二、正文 (4) 1系统概述 (4) 2单元电路设计方案和原理说明 (4) 抢答器电路设计 (4) 定时电路设计 (6) 3电路的安装与调试 (8) 4心得与体会 (9) 三、元器件明细表 (10) 四、参考文献 (10)

前言 一、设计内容及要求: 设计内容:本课题要求设计一台可供8名选手参加比赛的智力竞赛抢答器。 设计要求: 1.基本功能 (1)抢答器同时供8名选手或8个代表队比赛,分别用8个按钮S0 ~ S7表示。 (2)设置一个系统清除和抢答控制开关S,该开关由主持人控制。用来控制系统清零(编号显示数码管灭灯)和抢答的开始。 (3)抢答器具有锁存与显示功能。即抢答开始后,选手按动按钮,锁存相应的编号,并在编号显示器上显示该编号。同时封锁输入编码电路,禁止其他选手抢答。优先抢答选手的编号一直保持到主持人将系统清除为止。 2.扩展功能 (1)抢答器具有定时抢答功能。要求定时器开始倒计时,并用定时显示器显示倒计时时间。 (2)参赛选手在设定时间(30秒)内抢答,抢答有效,同时定时器停止倒计时,编号显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。 (3)如果定时抢答时间已到,却没有选手抢答时,本次抢答无效,系统封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。

二、实验内容及方法 1.组装调试抢答器电路。 2.设计可预置时间的定时电路,并进行组装和调试。当输人1Hz的时钟脉冲信号时,要求电路能进行减计时,当减计时到零时,能输出低电平有效的定时时间到信号。 3.完成定时抢答器的联调,注意各部分电路之间的时序配合关系。然后检查电路各部分的功能,使其满足设计要求。 三,工作过程简介 定时抢答器的总体框图(如图1.1)所示,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答按钮时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答的功能。

相关文档
最新文档