汉字点阵显示系统的设计实现分析

汉字点阵显示系统的设计实现分析
汉字点阵显示系统的设计实现分析

汉字点阵显示系统的设计与实现

X焕焕电信32

摘要汉字点阵显示广泛应用于各种服务性的公共场所。文章首先介绍了汉字点阵显示的基本原理,在此基础上,提出了基于单片机AT89C51的汉字点阵显示系统的总体设计方案,并进一步细化设计了各单元电路。在论证了所设计显示汉字系统的可行性后,进行了实际制作及相关的程序设计,经过硬件电路及程序的调试,成功显示我院校训“厚学启智,修德树人”。

关键词汉字显示单片机16×16点阵

引言

当今社会在大型商场、车站、码头、地铁站以及各类办事窗口等越来越多的场所需要用点阵显示图形和汉字。LED行业已经成为一个快速发展的新兴产业,市场空间巨大,前景广阔。随着信息产业的高速发展,LED显示作为信息传播的一种重要手段,已经广泛应用于室内外需要进行服务内容和服务宗旨宣传的公共场所,例如户内外公共场所广告宣传、机场车站旅客引导信息、公交车站报站系统、证券与银行信息显示、餐馆报价信息提示、高速公路可变情报板、体育场馆比赛转播、楼宇灯饰、交通信号灯、景观照明等。显然,LED显示已经成为城市现代化和信息化社会的一个重要标志。本文提出了一种基于单片机AT89C51的汉字点阵显示系统的设计方案,并显示我院校训“厚学启智,修得树人”。

1 汉字点阵显示原理

从理论上讲,不论显示图形还是汉字,只要控制组成这些图形或文字的各个发光点的亮灭,就可以实现显示,这种方法称为静态驱动显示方式。16×16的点阵共有256个发光二极管,显然单片机没有这么多端口,如果我们采用锁存器来扩展端口,按8位的锁存器来计算,16×16的点阵需要256/8=32个锁存器。这个数字很庞大,因为我们仅仅是16×16的点阵,在实际应用中的显示屏往往要大的多,这样在锁存器上花的成本将是一个很庞大的数字。因此在实际应用中的显示屏几乎都不采用这种设计,而采用另一种称为动态扫描的显示方法。

动态扫描的意思简单的说就是逐行轮流点亮,这样扫描驱动电路就可以实现多行的同多列共用一套列驱动器。具体就16×16的点阵来说,把所有同一行

的发光管的阳极连在一起,把所有同一列的发光管的阴极连在一起,先送出对应第一行发光管亮灭的数据并锁存,然后选通第一行使其燃亮一定的时间,然后熄灭;以次类推,到第16行之后,又重新点亮第一行,反复轮回。当这样轮回的速度足够快,由于人眼的视觉暂留现象,就能看到显示屏上稳定的图形或汉字了。

2汉字点阵显示系统的总体设计

汉字点阵显示系统硬件电路大致上可以分为系统的电源电路、单片机小系统、显示驱动控制电路三部分,如图1所示。

图1 汉字点阵显示电路框图

单片机采用AT89C51,晶振12MHz,若能采用24MHz或更高频率的晶振,可以获的更高的刷新频率,使显示更稳定。单片机的串口与列驱动器相连,用来送显示数据。列驱动器由集成电路74HC595构成,它具有一个8位传入并处的移位寄存器和一个8位锁存器的结构,而且移位寄存器和输出锁存器的控制是各自独立的,可以实现在显示本行各列数据的同时,传送下一行的列数据,即达到重叠处理的目的。行驱动器是由译码器74LS154构成,单片机P1口低4位输出的行号经4/16线译码器74LS154译码后生成16条行选通信号线,再经过驱动器驱动对应的行线。

3各单元电路的设计

3.1系统的电源电路

汉字点阵显示喜用可以直接与220V交流电相连,经过变压器、整流桥后输出12V带有交流成分的直流电压,再经过滤波电容后输出12V直流工作电压,

供给四个串联LED工作;再将12V直流工作电压接7805的1脚,2脚接地、3脚便输出5V直流电压再经过一滤波电容输出+5V直流电压为主控模块89C51提供5V工作电压,接法如图二所示。

图二系统电源电路

3.2单片机小系统

单片机采用89C51芯片,89C51是一种带4K字节闪烁可编程可擦除只读存储器(FPEROM—Falsh Programmable and Erasable Read Only Memory)的低电压,高性能CMOS8位微处理器,俗称单片机。单片机的串口与列驱动器相连,用来送显示数据。P1口低4位与行驱动器相连,送出行选信号;P1.5~P1.7口则用来发送控制信号。P0和P2口空着,在有必要时可以扩展系统的ROM和RAM。

图三单片机小系统

3.3显示驱动控制电路

显示驱动控制电路分为列驱动电路和行驱动电路。

列驱动电路是由集成电路74HC595构成。它具有一个8位传入并处的移位寄存器和一个8位锁存器的结构,而且移位寄存器和输出锁存器的控制是各自独立的,可以实现在显示本行各列数据的同时,传送下一行的列数据,即达到重叠处理的目的。

74HC595的输入侧有8个串行移位寄存器,每个移位寄存器的输出都连接一个输出锁存器。引脚SI是串行数据的输入端。引脚SCK是移位寄存器的移位时钟脉冲,在其上升沿发生移位,并将SI的下一个数据打入最低位。移位后的各位信号出现在各移位寄存器的输出端,也就是输出寄存器的输入端。RCK是输出寄锁存器的打入信号,其上升沿将移位寄存器的输出打入到输出锁存器。引脚G 是输出三态门的开放信号,只有当其为低时移位寄存器的输出全部为0.由于SCK 和RCK两个信号是相互独立的,所以能够做到输入串行移位与输出锁存互不干扰。芯片的输出端为QA~QH,最高位QH可作为多片74HC595级联应用时,向上一级的级联输出。但因QH受输出锁存器打入控制,所以还从输出锁存器前引出了QH,作为与移位寄存器完全同步的级联输出。

图三74HC595外形及内部逻辑结构图

行驱动电路是由译码器74LS154构成,单片机P1口低4位输出的行号经4/16线译码器74LS154译码后生成16条行选通信号线,再经过驱动器驱动对应的行线。一条行线上要带动16列的LED进行显示,按每一LED器件20mA电流计算,16个LED同时发光时,需要320mA电流,选用三极管8550作为驱动器可满足要求。

4电路的制作

在Protel 99SE中画出电路原理的SCH图,再转化成相应的PCB图,在PCB 图中把各个元器件的位置摆放合适,以保证线条有足够的宽度,而且交叉线最少。检查无误后通过刻板机刻出PCB板。对照PCB电路图,将选择好的元件正确地安装在PCB板上。

在焊接时,要有足够的热量和温度。如温度过低,焊锡流动性差,很容易凝固,形成虚焊;如温度过高,将使焊锡流淌,焊点不易存锡,焊剂分解速度加快,使金属表面加速氧化,并导致印制电路板上的焊盘脱落。尤其在使用天然松香作助焊剂时,锡焊温度过高,很易氧化脱皮而产生炭化,造成虚焊。

汉字点阵显示屏设计报告

广西交通职业技术学院信息工程系 作品设计报告书 课程名称电子电路设计与制作_____________ 题目16*16 汉字点阵显示屏 _________________ 班级___________ 电信2011-1班_____________ 学号007 032 ____________________ 姓名_________________ 范杰________________

任课老师_____________ 韦家正 _______________ 二O 一三年一月 目录 摘要 一、系统方案选择和论证 (2) 1.1设计要求 (2) 2.1系统基本方案 (2) 2.1.1.主控电路选择 (2) 2.1.2.点阵显示屏部分 (2) 2.1.3.显示屏控制部分 (3) 二、电路模块的设计与分析 (3) 2.1.系统程序的设计 (3) 2.2.单片机系统及外围电路 (4) 23 LED点阵显示 (6) 24.汉字扫描的原理 (7) 25.方案的实现 (7) 三、系统软件设计 (8) 四、系统测试与分析 (10) 4.1点阵显示屏的仿真与程序调试 (10) 4.2整机测试 (10) 4.3系统主程序............................... 错误!未定义书签。 4.4系统测试结果分析 (21) 五、设计制作总结 (21) 5.1 总结 (21) 5.2 致谢词 (22) 六、参考文献 (22)

附录一:系统主要元件清单 (14)

摘要 摘要 LED点阵显示屏作为一种新兴的显示器件,是由多个独立的高亮度的LED发光二极管封装而成。LED点阵显示屏可以显示数字或符号,通常用来显示时间、速度、系统状态等灵活的动态显示。文章给出了一种基于MCS-51/52单片机的 16X16点阵LED显示屏的设计方案,包括系统具体的硬件设计方案,软件流程图和汇编语言程序等方面内容。在负载范围内,只需通过简单的级联就可以对显示屏进行扩展,是一种成本低廉、亮 度高、工作电压低、功耗小、微型化、易与集成电路匹配、驱动简单、寿命长、耐冲击、性能稳定的图文显示方案。 Abstract LED dot matrix display, as a new display device, by a nu mber of in depe ndent high- bright ness LED light-emitt ing diode packages. LED dot matrix display can display nu mbers or symbols, usually used to display time, speed, system status, and a flexible dyn amic display. Pap er, a microcomputer-based MCS-51/52 16 16 dot matrix LED display desig n, in cludi ng the system specific hardware desig n, software flowcharts and assembly Ian guage programs and other aspects. Withi n the load range, by simply cascad ing Jiu expa nsion can right display Jin Xin g, is a low cost, high brightness, low voltage Gong Hao Xiao, miniaturization, Yi Yu IC match, Qu Dong simple, Shou Ming Ion g, impact resista nee, stable performa nee, graphics and display opti ons.

点阵显示汉字滚动图解

点阵的汉字滚动实现其实很简单,用一句话概括就是:一边在行(列)上进行扫描,一遍循环依次取出显示代码输入到列(行)上。 以我们板上载有的8×8点阵为例,当要实现2个汉字的滚动时,我们把整个滚动的过程拆开,会发现每一次完整的滚动,点阵要显示2×8=16个状态。我们可以把两个汉字的行(或列)扫描代码通过字模提取软件提出后保存在数组里,然后顺序循环的去取数组里的数据放到行(或列)上就可。 我们用图示来解析一下整个过程。我们假设要显示两个汉字”人”和”天”的左右滚动。 首先我们假设在行和列的输入数据中,1代表亮,0代表灭。数组code里保存好从字模软件中按行提取出来的扫描码,假设code[15]=A0,A1,A2,A3,A4,A5,A6,A7,B0,B1,B2,B3,B4,B5,B6,B7(A代表“人”字的列扫描码,B代表“天”字的列扫描码) 思路是这样的: 第1个时段T1:(完整的汉字“天”) 时刻t0,R=0000_0001,L=A0,R0那一列显示;、 时刻t1,R=0000_0010,L=A1,R1那一列显示; 时刻t2,R=0000_0100,;L=A2,R2那一列显示; ……. 时刻t7,R=1000_0000,;L=A7,R7那一列显示; 然后又回到时刻t0的扫描状态,循环扫描。只要在这个T1时间段内按这样扫描的话,就形成了A0—A7所代表的数据,即汉字“人”

第2个时段T2:(开始滚入“天”字) 时刻t0,R=0000_0001,L=A1,R0那一列显示;、 时刻t1,R=0000_0010,L=A2,R1那一列显示; 时刻t2,R=0000_0100,L=A3,R2那一列显示; ……. 时刻t7,R=1000_0000,L=B0,R7那一列显示;“天”字第1列 然后又回到时刻t0的扫描状态,循环扫描。只要在这个T2时间段内按这样扫描的话,就形成了A1—A7和B0所代表的数据,如下图: 第3个时段T3: 时刻t0,R=0000_0001,L=A2,R0那一列显示;、 时刻t1,R=0000_0010,L=A3,R1那一列显示; 时刻t2,R=0000_0100,;L=A4,R2那一列显示; ……. 时刻t6,R=1000_0000,L=B0,R6那一列显示;“天”字第1列 时刻t7,R=1000_0000,L=B1,R7那一列显示;“天”字第2列 然后又回到时刻t0的扫描状态,循环扫描。只要在这个T3时间段内按这样扫描的话,就形成了A1—A7和B0--B1所代表的数据,如下图: 第4个时段T4: 时刻t0,R=0000_0001,L=A2,R0那一列显示;、 时刻t1,R=0000_0010,L=A3,R1那一列显示; 时刻t2,R=0000_0100,;L=A4,R2那一列显示; ……. 时刻t5,R=1000_0000,L=B0,R5那一列显示;“天”字第1列 时刻t6,R=1000_0000,L=B1,R6那一列显示;“天”字第2列 时刻t7,R=1000_0000,L=B2,R7那一列显示;“天”字第3列 然后又回到时刻t0的扫描状态,循环扫描。只要在这个T4时间段内按这样扫描的话,就形成了A1—A7和B0—B2所代表的数据,如下图:

基于单片机的24×24点阵LED汉字显示系统设计

郑州华信学院 课程设计任务书 题目: 基于单片机的24×24点阵LED汉字显示系统设计 专业: 姓名: 学号: 班级: 完成期限:年月日 指导教师签名: 课程负责人签名: 年月日

主要内容: 利用单片机控制24×24点阵LED汉字显示屏,能够实现汉字、数字、字母的多样化显示。 基本要求: 1. 实现LED点阵屏核心功能即汉字、数字、字母的多样化显示; 2. 利用proteus软件完成设计电路和仿真,要求显示“郑州华信学院”字样,并且能够调整显示字样; 3. 掌握SPI串口进行数据传输的应用,并学会使用外部芯片辅助项目设计; 4 .通过此次设计将单片机软硬件结合起来对程序进行编辑、校验,锻炼实践能力和理论联系实际的能力。 主要参考资料: [1]阳进基于单片机的LED显示屏的汉字显示中国科技信息 [2]韩润萍陈小萍.点阵LED显示屏控制系统微计算机信息 [3]刘曙光 LED电子显示屏真彩显示的几种关键技术北京:国外电子测量技术 [4]李径达基于锁存方式LED显示屏的软件设计沈阳:计算机应用研究 [5]李全利,单片机原理及接口技术[M],高等教育出版社 [6]王文杰,单片机应用技术[M],冶金工业出版社 [7]朱清慧,PROTEUS教程——电子线路设计、制版与仿真[M],清华大学出版社

郑州华信学院 课程设计说明书 课题: 24*24点阵汉字显示设计 姓名: 院系: 专业班级: 学号: 指导老师: 成绩: 时间:年月日至年月日

目录 1 引言........................................................................................................................................................................................... - 1 - 1.1研究背景 ................................................................................................................................................................... - 1 - 1.2 LED显示屏简介..................................................................................................................................................... - 1 - 1.3 功能要求................................................................................................................................................................ - 2 -2设计方案 .................................................................................................................................................................................. - 2 - 2.1 核心元件的选用.................................................................................................................................................... - 2 - 2.2 整体设计思路 ...................................................................................................................................................... - 2 - 3 总体设计及核心元件的简介.......................................................................................................................................... - 2 - 3.1 总体设计结构图.................................................................................................................................................... - 2 - 3.2 硬件分析及设计.................................................................................................................................................... - 3 - 3.2.1时钟电路..................................................................................................................................................... - 3 - 3.2.2复位电路..................................................................................................................................................... - 3 - 3.2.3行数据传输电路 ...................................................................................................................................... - 4 - 3.2.4列控制电路 ................................................................................................................................................ - 5 - 3.2.5点阵组合电路 ........................................................................................................................................... - 6 - 3.2.6单片机和按键连接电路........................................................................................................................ - 7 - 3.3 取模软件的应用.................................................................................................................................................... - 7 - 3.4 软件设计分析 ...................................................................................................................................................... - 9 - 3.4.1 软件设计流程图 ..................................................................................................................................... - 9 - 3.4.2 系统源程序 ......................................................................................................................................... - 10 - 4 仿真与调试....................................................................................................................................................................... - 19 - 4.1 建立Keil uVision2工程、文件............................................................................................................... - 19 - 4.2 绘制总体电路图 .............................................................................................................................................. - 20 - 5 心得体会 ........................................................................................................................................................................... - 22 - 6 参考文献 ........................................................................................................................................................................... - 22 -附录一部分元件介绍...................................................................................................................................................... - 23 - 1 AT89C51芯片............................................................................................................................................................ - 23 - 2 8*8点阵LED元件介绍 ................................................................................................................................... - 24 -附录二整体电路 ............................................................................................................................................................... - 26 -

单片机课程设计---16×16点阵式汉字显示

目录 摘要 (1) Abstract (2) 1设计原理 (3) 1.1 MCS-51单片机的结构及编程方法 (3) 1.2 16*16点阵LED原理 (5) 1.3 3-8译码器原理 (6) 2.设计方案介绍 (7) 2.1 设计总体思路 (7) 2.2 与题目相关的具体设计 (7) 2.3程序设计流程图 (8) 3.源程序,原理图和仿真图 (9) 3.1程序清单(见附录) (9) 3.2电路图 (9) 3.2.1电路原理图 (9) 3.2.2电路图分析 (9) 3.3仿真图 (9) 4性能分析 (10) 5.总结和心得 (11) 6.参考文献 (12) 附录:程序代码 (13)

摘要 LED点阵显示屏作为一种新兴的显示器件,是由多个独立的LED发光二极管封装而成. LED点阵显示屏可以显示数字或符号, 通常用来显示时间、速度、系统状态等。文章给出了一种基于MCS-51单片机的16×16 点阵LED显示屏的设计方案。包括系统具体的硬件设计方案,软件流程图和部分汇编语言程序等方面。在负载范围内, 只需通过简单的级联就可以对显示屏进行扩展,是一种成本低廉的图文显示方案。 关键词:MCS-51;LED;单片机

Abstract As a popular display device component, LED dot-matrix display board consists of several independent LED (Light Emitting Diode). The LED dot-matrix display board can display the number or sign, and it is usually used to show time, speed, the state of system etc. This paper introduces a kind of simple 16x16 LED display screen design process based on MCS-51 single chip minicomputer . The detail hardware scheme, software flow and assemble language programmer design and so on is followed. The display part can be cascaded to meet the need. The practice proves the design is low-cost and effective. Key words: MCS-51;LED;MCU

基于51单片机的汉字点阵显示设计

湖南科技大学测控技术与仪器专业
单 片 机 课 程 设 计
题 姓 学 名 号

指导教师 成 绩 ____________________
湖南科技大学机电工程学院 二〇一五年十二月制

湖南科技大学课程设计
摘要
LED 显示屏在我们的周围随处可见,它的应用已经普及到社会中的方方面面。作为 一种新型的显示器件,在许多场合都可以见到它的身影,不仅是它的应用使呈现出来的 东西更加美观,更重要的是它的应用方便,成本很低,除了能给人视觉上的冲击外,更 能给人一种美的享受。LED 显示屏是由多个发光二极管按矩阵形式排列封装而成,通常 用来显示时间、图文等各种信息。本设计是基于 ATS52 单片机的 16*16 点阵式显示屏, 该 LED 显示屏能实现 16*16 个汉字,简单的显示图像, 然后一直循环着显示下去。该设 计包含了硬件、软件、调试等方案,只需简单的级联就能实现显示屏的拓展,但要注意 不要超过负载能力。本次设计的作品体积小、功能多、方便实用、花费小,电路具有结 构简单、操作方便、精度高、应用广泛的特点。 关键词: LED,ATS51 单片机,显示屏
-2-

湖南科技大学课程设计
目录
摘要…………………………………………………………………………i 第一章 系统功能要求 ……………………………………………………1 1.1 系统设计要求 ……………………………………………………1 第二章 方案论证 …………………………………………………………1 2.1 方案论证 …………………………………………………………1 第三章 系统硬件电路设计 ………………………………………………1 3.1 AT89S51 芯片的介绍 ………………………………………………1 3.1.1 系统单片机选型…………………………………………………1 3.1.2 AT89S51 引脚功能介绍 …………………………………………2 3.2 LED 点阵介绍………………………………………………………2 3.2.1LED 点阵……………………………………………………………2 3.3 系统各硬件电路介绍 ………………………………………………3
3.3.1 系统电源电路设计介绍……………………………………………3 3.3.2 复位电路……………………………………………………………4 3.3.3 晶振电路……………………………………………………………4 3.4 系统的总的原理图……………………………………………………5 第四章 系统程序设计 ………………………………………………………5 4.1 基于 PROTEUS 的电路仿真……………………………………………5 4.2 用 PROTEUS 绘制原理 ………………………………………………6
4.3PROTEUS 对单片机内核的仿真 ………………………………………6
-3-

16 16点阵显示汉字汇编

ORG 0000H LJMP START ORG 000BH LJMP TIMER0 ORG 0030H START: MOV SCON,#00H ;串口0方式传送显示字节;MOV R5,#10 MOV R0,#00H MOV R1,#00H MOV R3,#00H MOV TMOD,#01H MOV TH0,#00H MOV TL0,#00H SETB EA SETB ET0 START1: CJNE R1,#00H,S0 MOV DPTR,#TAB0 S0: CJNE R1,#01H,S1 MOV DPTR,#TAB1 S1: CJNE R1,#02H,S2 MOV DPTR,#TAB2 S2: CJNE R1,#03H,START2 MOV DPTR,#TAB3 START2: MOV R2,#00H DIS: MOV P1,R2 MOV R0,#02H DD: CLR A MOVC A,@A+DPTR MOV SBUF,A WAIT: JNB TI,W AIT CLR TI INC DPTR DJNZ R0,DD CLR P1.6 SETB P1.6 ;STcp上升沿,将寄存器中的数据传出 ;SJMP $ LCALL DELAY INC R2 SETB P1.7 CLR P1.7 CJNE R2,#10H,DIS INC R3 CJNE R3,#10H,START1 MOV R3,#00H

SETB P1.7 LCALL DELAY1 ;DJNZ R5,START1 ;一个字闪烁一会 ;MOV R5,#10 SETB P1.7 SETB F0 SETB TR0 CJNE R0,#08H,$ ;利用定时器黑屏一会 MOV R0,#00H CLR TR0 CLR P1.7 INC R1 CJNE R1,#04H,S3 MOV R1,#00H S3: LJMP START1 DELAY: MOV R6,#0AH LOOP: MOV R7,#09FH ;1μs DJNZ R7,$ ;2×256μs DJNZ R6,LOOP ;1μs× (1+2×256+1)×10 RET DELAY1: MOV R6,#0AFH LOOP1: MOV R7,#0AFH ;1μs DJNZ R7,$ ;2×256μs DJNZ R6,LOOP1 ;1μs× (1+2×256+1)×10 RET TIMER0: MOV TH0,#00H MOV TL0,#00H INC R0 RETI TAB0: DB 01H,00H,01H,00H,01H,00H,01H,00H,01H,00H,21H,04H,21H,04H,21H,04H DB 21H,04H,21H,04H,21H,04H,21H,04H,21H,04H,7FH,0FCH,20H,04H,00H,00H ;山 TAB1: DB 10H,04H,10H,84H,10H,84H,10H,84H,10H,84H,10H,84H,10H,84H,10H,84H DB 10H,84H,10H,84H,10H,84H,10H,84H,10H,84H,20H,84H,20H,04H,40H,00H ;川TAB2: DB 20H,00H,17H,0FEH,10H,08H,00H,08H,88H,08H,4BH,0C8H,52H,48H,12H,48H DB 22H,48H,23H,0C8H,0E2H,48H,20H,08H,20H,08H,20H,08H,20H,28H,20H,10H ;河 TAB3: DB 20H,80H,10H,40H,17H,0FEH,00H,40H,80H,80H,49H,10H,4BH,0F8H,10H,08H DB 12H,50H,12H,50H,0E2H,50H,22H,50H,24H,52H,24H,52H,28H,4EH,20H,00H ;流

基于FPGA的LED16×16点阵汉字显示设计(VHDL版)

毕业设计(论文)开题报告 设计(论文)题目基于FPGA的LED 16×16点阵汉字显示设计 一、选题的背景和意义: LED点阵显示屏是集微电子技术、计算机技术、信息处理技术于一体的大型显示屏系统。它以其色彩鲜艳,动态范围广,亮度高,寿命长,工作稳定可靠等优点而成为众多显示媒体以及户外作业显示的理想选择。受到体育场馆用LED显示屏需求快速增长的带动,近年来,中国LED 显示屏应用逐步增多。目前,LED已经广泛应用在银行、火车站、广告、体育场馆之中。而随着奥运会、世博会的临近,LED显示屏将广泛的应用在体育场馆以及道路交通指示中,LED显示屏在体育广场中的应用将出现快速增长。 因此,本设计是很有必要的,之所以基于FPGA设计是因为现场可编程门阵列(FPGA)设计周期小,灵活度高,适合用于小批量系统,提高系统的可靠性和集成度。并且采用编写灵活的VHDL 语言编写主程序。本设计可以方便的应用到各类广告宣传中。 二、课题研究的主要内容: 1. 实现16×16点阵的汉字显示; 2. 实现有限汉字显示; 4. 实现汉字的滚动显示; 5. 完成方案论证。 三、主要研究(设计)方法论述: 通过去图书馆查阅书籍收集资料,同时在搜索引擎上检索资料,分析借鉴已有类似产品、设计方案与成功经验,选择几种可行方案比对,最后确定最切实可行的方案展开设计。 通过Multisim或Quartus软件对系统进行模拟仿真,对电路功能进行改进与完善。 在EDA试验箱上进行调试。 四、设计(论文)进度安排:

时间(迄止日期)工作内容 2010.5.17-5.23 理解并确认毕业设计任务书,撰写完成毕业设计开题报告(第1周) 2010.5.24-5.30 完成调研与资料收集、整理 (第2周) 2010.5.31-6.6 设计方案及原理框图确定 (第3周) 2010.6.7-7.4 电路资料收集,单元电路设计 (第4、5、6、7周) 2010.7.5-7.18 电路仿真与改进、完善 (第8、9周) 2010.19-8.1 资料整理 (第10、11周) 2010..8.2-8.8 书写毕业设计报告 (第12周) 2010.8.9-8.16 (第13周)修改毕业设计报告并整理装订 五、指导教师意见: 指导教师签名:年月日六、系部意见: 系主任签名:年月日 目录

大学本科毕业设计_点阵LED汉字显示系统的设计(硬件部分)

点阵LED汉字显示系统的设计(硬件部分) 摘要 LED电子显示屏由于具有所显内容信息量大,外形美观大方,操作方便灵活,制作简单,安装方便,是近年来得到广泛应用的重要信息设备,被广泛应用于各种公共场合,如汽车报站器、广告屏以及公告牌等。本文介绍了一款以单片机AT89S51为控制器的LED点阵显示屏系统的设计。考虑到所需元器件的易购性,本设计使用了8×8的点阵发光管模块,组成16×16发光点阵,显示待定的中文、字符以及数字。由显示驱动模块驱动一个16×16分辨率的LED点阵显示屏的扫描显示。所选用的AT89S51单片机具有价格低廉程序写入方便的特点使得整个系统方便维护和检修。除此之外,该系统只占用了单片机少量的I /O口和内存,为系统留下了功能扩展的空间。关键词LED点阵;16X16点阵;单片机;显示屏;扫描

Abstract Because the LED electron display monitor has the institute content information content to be obviously big, the contour elegant appearance, the ease of operation is flexible, the manufacture is simple, the easy installation, was the recent years obtains the widespread application important information equipment, is widely applied in each kind of public area, like the automobile newspaper stood, the advertisement screen as well as the notice board and so on. This article introduced one section take monolithic integrated circuit AT89S51 as controller's LED lattice display monitor system's design. Considered needs primary device's Yi Gou, this design has used 8×8 lattice photo tube module, composition 16×16 illumination lattice, demonstration undetermined Chinese, character as well as digit. Actuates a 16×16 resolution by the demonstration actuation module the LED lattice display monitor's scanning demonstration. Selects at89S51 monolithic integrated circuit has the low in price procedure to read in the convenience the characteristic to cause the overall system convenience maintenance and the overhaul. In addition, this system has only taken the monolithic integrated circuit few I /O mouth and the memory, has left behind the space which for the system the function expands. Keywords LED dot-matrix; 16X16 dot matrix; singlechip; display; scan

16×16点阵滚动显示汉字c51程序

16×16点阵滚动显示汉字c51程序 给大家共享一个51单片机驱动的16×16点阵滚动显示汉字的程序,是公车上用的。 下载源代码 #include #define int8 unsigned char #define int16 unsigned int #define int32 unsigned long int8 flag; int8 n; int8 code table[][32]={ 欢迎您乘坐广州三汽公司公共汽车大学城2线开往大学城请您坐好扶稳 具体的字码省略,请点此处下载本列16×16点阵汉字滚动显示源代码 }; void delay(void); int16 offset; void main(void) { int8 i; int8 *p; flag=0x10;

n=0; TMOD=0x01; TH0=0xb1; TL0=0xe0; ET0=1; EA=1; TR0=1; p=&table[0][0]; while (1) { for (i=0;i<8;i++) //显示左半边屏幕 { P0=*(p+offset+2*i); P2=i|0x08; //P2.4=0,P2.3=1 选中U2, 输出扫描码给U6 delay(); P0=*(p+offset+2*i+1); P2=i|0x10; //P2.4=1,P2.3=0 选中U3, 输出扫描码给U7 delay(); } for (i=8;i<16;i++) //显示右半边屏幕 {

P0=*(p+offset+2*i); P2=(i-8)|0x20; //P2.5=1 P2.4=0, P2.3=0 选中U4,输出扫描码U8 delay(); P0=*(p+offset+2*i+1); P2=(i-8)|0x40; //P2.6=1 P2.5=0, P2.4=0 选中U5,输出扫描码U9 delay(); } } } void delay(void) { int16 i; for (i=0;i<50;i++) ; } void timer0() interrupt 1 using 3 { TF0=0; TH0=0xb1; TL0=0xe0; if (n1100)

8×8LED点阵显示汉字课程设计

目录 第1章本设计的研究背景及目的要 求 0 1.1凌阳单片 机 0 1.2 LED(8×8)点阵模块简 介 (1) 第2章设计方案和基本原 理 (3) 2.1设计方 案 (3) 2.2 基本原 理 (3) 1. 8×8LED点阵的工作原 理 (3) 第3章程序设 计 (6) 3.1程序流程 图 (6) 3.2 程序代 码 (6) 第4章调试结果及分 析 (8) 4.1调试结 果 (8) 4.2结果分 析 (9) 第5章结论与体 会 (10) 参考文 献 .................................................................. 11 附 录 .................................................................. . (12) 第1章本设计的研究背景及目的要求

1.1凌阳单片机 (1)来源 随着单片机功能集成化的发展,其应用领域也逐渐地由传统的控制,扩展为控制处理数据处理以及数字信号处理,DSP(Digital Signal Processing)等领域。凌阳的16位单片机就是为适应这种发展而设计的。 (2)构造 它的CPU内核采用凌阳最新推出的Microcontroller and Signal Processor 16 位微机处理器芯片,以下简称μ'nSP?。围绕μ'nSP?所形成的16位μ'nSP?系 列单片机,以下简称μ'nSP? 家族。采用的是模块式集成结构,它以μ'nSP?内核为中心集成不同规模的ROM PAM和功能丰富的各种外设部件。μ'nSP?内核 是一个通用的和结构。除此之外的其它功能模块均为可选结构。以及这种结构可大可小可有可无,借助这种通用结构附加可选结构的积木式的构成,便可成为各种系列的派生产品,以适合不同场合,这样做无疑会使每种派生产品具有更强的功能和更低的成本。μ'nSP?家族有有以下特点:体积小,集成度高,可靠性 好易于扩展。μ'nSP? 家族把各功能把各功能部件模块化地集成在一个芯片里。内部采用总线结构,因为减少了各功能部件之间的连接,提高了其可靠性和抗干扰能力,另外,模块化的结构易于系列的扩展,以适应不同用户的需求。具有较强的中断处理能力。μ'nSP?家族的中断系统支持10个中断向量及10余个中断源,适合实时应用领域。高性能价格比:μ'nSP?家族片内带有高寻址能力的ROM,静态RAM和多功能的I/O口,另外μ'nSP?的指令系统提供出具有较高运算速度的16位,16位的乘法运算指令和内积运算指令,为其应用添加了DSP功能,使得μ'nSP?家族运用在复杂的数字信号处理方面既很便利又比专用的DSP芯片廉价。 优点: 功能强、效率高的指令系统:μ'nSP?的指令系统的指令格式紧凑,执行迅速,并且其指令结构提供了对高级语言的支持,这可以大大缩短产品的开发时间。低功耗、低电压:μ'nSP?家族采用CMOS制造工艺,同时增加了软件激发的弱振方式,空闲方式和掉电方式,极大地降低了其功耗,另外,μ'nSP?家族的工 作电压范围大,能在低电压供电时正常工作,且能用电池供电,这对于其在野外作业等领域中的应用具有特殊的意义。 (3)应用领域 凌阳单片机广泛应用于仪器仪表、家用电器、医用设备、航空航天、专用设备的智能化管理及过程控制等领域,大致可分如下几个范畴: 1.在智能仪器仪表上的应用 单片机具有体积小、功耗低、控制功能强、扩展灵活、微型化和使用方便等优点,广泛应用于仪器仪表中,结合不同类型的传感器,可实现诸如电压、功率、频率、湿度、温度、流量、速度、厚度、角度、长度、硬度、元素、压力等物理量的测量。采用单片机控例且功能比起采用电子或数字电路更加强大。智能化、微型化,制使得仪器仪表数字化、. 。如精密的测量设备(功率计,示波器,各种分析仪)在工业控制中的应用2. 例如工厂流水线的智能化管数据采集系统。用单片机可以构成形式多样的控制系统、

51单片机驱动16×16LED点阵显示动画汉字汇编程序

51单片机驱动16×16LED点阵显示动画汉字汇编程序 这里提供一个完整的AT89S51单片机驱动驱动led点阵显示具有动画效果的汉字的汇编程序列子. ORG 0000H ST: MOV A,#0FFH ;初始化 MOV P1,A MOV P2,A MOV P3,A MOV P0,A CLR A MOV R0,#50H ;显示缓存清0 MOV R1,#20H ;控制清0的次数 ST0: MOV R0,A INC R0 DJNZ R1,ST0 ;正文显示 CHINESE: MOV DPTR,#TAB1 ;查表指针指向TAB1 LCALL HZ ;结束动画 SCREE: MOV DPTR,#TAB2;查表指针指向TAB2 ACALL DD MOV DPTR,#TAB3;查表指针指向TAB3 ACALL DD MOV DPTR,#TAB4;查表指针指向TAB4 ACALL DD LJMP CHINESE ;显示8幅画面子程序,SCREE专用,用字模软件字要倒置(表必须深256字节) DD: MOV B,#00H

MOV R0,#08H ;显示8幅画面 AJMP CC CC0: MOV A,B ADD A,#20H ;指向下一幅画面 MOV B,A CC: MOV R7,#08H ;画面停留时间 MOV R3,B MOV 37H,R0 LCALL ENTER DIS00: LCALL DISPLAY DJNZ R7,DIS00 MOV R0,37H DJNZ R0,CC0 RET ;汉字上移子程序,可显示8个汉字,(表必须深256字节) HZ: MOV R3,#00H ;查表偏移量 MOV R5,#81H ;查表128次 MOVBACK: MOV R4,#10H ;使显示完一个汉字 MOVBACK0: MOV R7,#02H ;一桢画面显示时间 MOV R0,#6DH ;低8位R0指向显示缓存倒数第3个字节,以备与最后1个字节交换MOV R1,#6CH ;高8位R1指向显示缓存倒数第4个字节,以备与倒数第2个字节交换MOV R2,#10H ;存后移的次数 DJNZ R5,MOVBACK1 RET ;显示完该表,返回 MOVBACK1: MOV A,R0 ;低8位被后移的存单元数据暂存入A INC R0 ;后移两字节 INC R0 MOV R0,A ;放入要移的低8位数据 MOV A,R0 ;R0指向下一个要后移的存单元 SUBB A,#04H

相关文档
最新文档