数字逻辑电路课程设计-全自动洗衣机控制器的设计课案

数字逻辑电路课程设计-全自动洗衣机控制器的设计课案
数字逻辑电路课程设计-全自动洗衣机控制器的设计课案

数字逻辑电路课程设计

设计题目全自动洗衣机控制器的设计

姓名

学号

专业

班级

完成日期

目录

一、实验目的 (3)

二、设计题目与思路 (3)

三、总体方案的设计与选择 (4)

四、使用元件 (5)

五、分析与心得 (5)

六、附件一:实验代码 (5)

一、实验目的

1.进一步掌握数字电路课程所学的理论知识。

2.了解数字电路设计的基本思想和方法,学会科学分析和解决问题。

3.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。

4. 培养认真严谨的工作作风和实事求是的工作态度。

二、设计题目与思路

本次数字电路课程设计我选择的题目是“全自动洗衣机控制器”。

这个系统的主要功能是:

1.设计一个全自动洗衣机控制器电路,实现对洗衣机的全自动控制。

根据全自动洗衣机的控制原理设计一个控制电路,使之能够控制全自动洗衣机完成整个工作过程。洗衣机工作过程如下:

当按下复位按钮时,洗衣机上电,控制电路复位到初始状态(默认水位为“中”);使用者可根据衣服的多少,按下水位控制按钮,改变水位设置,以控制上水时加水的多少;当按下启动/暂停按钮时,洗衣机开始洗衣的第一个操作:进水阀门打开,开始上水,并根据水位设置(高、中、低、少)历时不同的时间timeadd(8s、7s、6s、5s);然后进水阀门关闭,电机开始运转,开始洗衣过程,并历时9s;然后电机停止运转,排水阀门打开,开始排水,并根据水位设置(高、中、低、少)历时不同的时间timedrain(7s、6s、5s、4s);然后排水阀门关闭,进水阀门打开,开始第二次上水,并历时timeadd……当甩干结束后,整个洗衣过程完成,扬声器发出持续15秒的急促的“嘀嘀”音,提示用户洗衣结束。洗衣机工作过程简记如下:

空闲(idle)→第一次加水(water1)7s→洗衣(wash)9s→第一次排水(drain1)6s→第二次加水(water2)7s→漂洗(rinse)9s→第二次排水(drain2)6s→甩干(dry)5s→蜂鸣15s

2、控制器只要送出对应的控制信号就可以了。这些控制信号控制的继电器不需要购买。

3、用开关启动洗衣机,数码管动态显示剩余时间,发光二极管点亮或停止,表示电机的运

动规律。

三、总体方案的设计与选择

1.总体方案的设计

经过分析问题及初步的整体思考,拟定以下方案:

总体电路共分三大块。第一块实现洗衣机运行过程控制;第二块实现花洗衣机水位控制;

第三块实现数码管状态显示。

主体框图如下:

CLK

四、使用元件

1.设计所需的元件:

TDS系列数字电路实验系统----------------------------------------- 1套;

ISP系统可编程器件以及集成电路------------------------------------- -1套;

PC 计算机----------------------------------------------------------- 1台;

实验板一个;

万用表一个;

导线若干;

五、分析与心得

这次课程设计对我来说是一项很大的挑战,看到题目后几乎没有思路,翻阅教材,看了下自动洗衣机那张的讲解,有了眉目,但是设计的内容过于庞杂,很难下手。这时候,我选择从已有的程序着手,先看懂,然后和同学讨论思路,最后形成了这个作业。做仿真波形的时候,就是验证结论的时候,过程还算顺利。通过这次作业,掌握了从没接触过的VHDL语言,加强了动手能力,发现了学会查阅资料的重要性,受益匪浅。

附件:

LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL;

USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY test IS

PORT( clk:IN STD_LOGIC;--500HZ信号,用于扫描数码管--

clear:IN STD_LOGIC; --复位信号--

start:IN STD_LOGIC; --开始暂停--

model1:IN STD_LOGIC_VECTOR(3 DOWNTO 0);--模式控制--

--w:OUT STD_LOGIC;

m:OUT STD_LOGIC;

--d:OUT STD_LOGIC;

v:OUT STD_LOGIC;

SDISP:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);--输出水位--

ADISP:OUT STD_LOGIC_VECTOR(3 DOWNTO 0);

DISP:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)--控制数码管显示的数字的信号-- );

END test;

ARCHITECTURE a OF test IS

SIGNAL water1:INTEGER RANGE 0 TO 1;

SIGNAL wash :INTEGER RANGE 0 TO 1;

SIGNAL drain1 :INTEGER RANGE 0 TO 1;

SIGNAL water2 :INTEGER RANGE 0 TO 1;

SIGNAL rains :INTEGER RANGE 0 TO 1;

SIGNAL drain2 :INTEGER RANGE 0 TO 1;

SIGNAL dry :INTEGER RANGE 0 TO 1;

SIGNAL beep :INTEGER RANGE 0 TO 1;

SIGNAL model:INTEGER RANGE 0 TO 7;

SIGNAL count:INTEGER RANGE 0 TO 9;--模值为9的计数器--

BEGIN

--water1!!!!!!!!!!!--

p1:PROCESS(clk,clear,start)

V ARIABLE shuiwei:STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN

IF clear='1' THEN

model<=0;

shuiwei:="0010";

v<='0';

m<='0';

count<=0;

water1<=0;

wash<=0;

drain1<=0;

water2<=0;

rains<=0;

drain2<=0;

dry<=0;

beep<=0;

ADISP<="0000";

ELSIF clk'event AND clk='1' AND start='1' THEN

water1<=1;

--ADISP<="0001";

IF (water1=1) THEN ADISP<="0000";

--IF shuiwei = 1;

--count<=7;

shuiwei(3 downto 0):=model1;

case shuiwei is

WHEN "0000" => count<=4;--0

WHEN "0001" => count<=5;

WHEN "0010" => count<=7;

WHEN "0011" => count<=8;

WHEN OTHERS =>count<=7;

END case;

SDISP<=shuiwei;

--WHEN 1 => SDISP<="0001";--1

--WHEN 2 => SDISP<="0010";--2

--WHEN 3 => SDISP<="0011";--3

--WHEN 4 => SDISP<="0100";--4

--WHEN OTHERS =>SDISP<="0000";--0 v<='0';

m<='0';

--ADISP<="0001";

--w<='1';

--m<='0';

--d<='0';

--IF (w<='1') THEN m<='0';d<='0';END IF; IF(count=0) THEN wash<=1;water1<=0; ELSE count<=count-1;ADISP<="0000"; END IF;

END IF;

---wash!!!!!!!!!!!!!!!!!-

IF (wash=1) THEN

count<=9;water1<=0;

ADISP<="0001";

v<='0';m<='0';

--w<='0';

--m<='1';

--d<='0';

--IF (m<='1') THEN w<='0';d<='0';END IF; IF(count=0) THEN drain1<=1;wash<=0; ELSE count<=count-1;ADISP<="0001"; END IF;

END IF;

---drain1!!!!!!!!!!!!!!!!!-

IF (drain1=1) THEN --ADISP<="0011"; count<=6;wash<=0;

v<='0';m<='1';

--w<='0';

--m<='0';

--d<='1';

--IF (d<='1') THEN m<='0';w<='0';END IF; IF(count=0) THEN water2<=1;drain1<=0; ELSE count<=count-1;ADISP<="0010"; END IF;

END IF;

---water2!!!!!!!!!!!!!!!!!-

IF (water2=1) THEN

count<=7;drain1<=0;

ADISP<="0011";

v<='0';m<='0';

--w<='1';

--m<='0';

--d<='0';

IF(count=0) THEN rains<=1;water2<=0; ELSE count<=count-1;ADISP<="0011"; END IF;

END IF;

---rinse!!!!!!!!!!!!!!!!!-

IF (rains=1) THEN

count<=9;

ADISP<="0100";

v<='0';m<='0';

--w<='1';

--m<='0';

--d<='0';

IF(count=0) THEN drain2<=1;rains<=0; ELSE count<=count-1;ADISP<="0100"; END IF;

END IF;

---drain2!!!!!!!!!!!!!!!!!-

IF (drain2=1) THEN

count<=6;

ADISP<="0101";

v<='0';m<='0';

--w<='0';

--m<='0';

--d<='1';

IF(count=0) THEN dry<=1;drain2<=0; ELSE count<=count-1;ADISP<="0101"; END IF;

END IF;

---dry!!!!!!!!!!!!!!!!!-

IF (dry=1) THEN

count<=1;

ADISP<="0110";

v<='0';m<='0';

--w<='0';

--m<='1';

--d<='0';

IF(count=0) THEN beep<=1;dry<=0; ELSE count<=count-1;ADISP<="0110"; END IF;

END IF;

---beep!!!!!!!!!!!!!!!!!-

IF (beep=1) THEN

--V ARIABLE a: integer:=0;

--L1:LOOP

count<=0;

ADISP<="0111";

v<='1';m<='1';

IF(model=2)THEN

v<='0';m<='0';ADISP<="0000";

ELSE model<=model+1;

--END loop L1;

END IF;

END IF;

END IF;

END PROCESS p1;

--SIGNAL disp_tmp:INTEGER RANGE 0 TO 9;--从0到9,显示在数码管上的数字-- --BEGIN

--p1:PROCESS(clk,clear) --500HZ扫描信号控制计数器当前值--

--BEGIN

-- IF clear='1' THEN

-- count<=0;

-- ELSIF clk'event AND clk='1' THEN

-- IF(count=0) THEN count<=9;

-- ELSE count<=count-1;

-- END IF;

-- END IF;

--END PROCESS p1;

p2:PROCESS(count)--根据disp_tmp的值显示对应的数字--

BEGIN

CASE count IS

WHEN 0 => DISP<="0000";--0

WHEN 1 => DISP<="0001";--1

WHEN 2 => DISP<="0010";--2

WHEN 3 => DISP<="0011";--3

WHEN 4 => DISP<="0100";--4

WHEN 5 => DISP<="0101";--5

WHEN 6 => DISP<="0110";--6

WHEN 7 => DISP<="0111";--7

WHEN 8 => DISP<="1000";--8

WHEN 9 => DISP<="1001";--9

WHEN OTHERS => DISP<="0000";--0 END CASE;

END PROCESS p2;

END a;

数字逻辑课程设计课案教学总结

数字逻辑设计课程设计指导书 适用专业:计算机大类 湖北工业大学 计算机学院 2016年11月

目录 一、课程设计目的 (1) 二、课程设计要求 (1) 三、课程设计内容 (1) 四、设计报告的内容和要求 (3) 五、课程设计考核方法 (3) 附录一自选课题参考题目 (4) 一、数码管显示控制器 (4) 二、乒乓球游戏机 (4) 三、智力竞赛抢答器 (4) 四、数字钟 (4) 五、交通灯控制器 (5) 六、双钮电子锁 (5) 七、彩灯控制器 (5) 八、速度表 (5) 九、出租车计价器 (6) 十、自动奏乐器一 (6) 十一、自动奏乐器二 (6) 十二、自动打铃器 (6) 十三、算术运算单元ALU的设计 (7) 十四、游戏机 (7) 十五、16路数显报警器 (7) 十六、脉冲按键电话按键显示器 (7) 十七、病房呼叫系统 (8) 十八、自动电子钟 (8) 十九、具有数字显示的洗衣机时控电路 (8) 二十、篮球比赛数字计分牌 (8) 二十一、电子日历 (9) 二十二、设计模拟中央人民广播电台报时电路 (9) 二十三、数字跑表 (9) 二十四、汽车尾灯控制器 (9) 二十五、篮球竞赛30秒计时器 (9) 二十六、拔河游戏机控制器 (10) 附录二TTL集成电路型号命名规则 (11) 附录三部分TTL集成电路管脚排列图 (14)

一、课程设计目的 《数字逻辑课程设计》是计算机大类学生的必修课之一,是《数字逻辑》课程的一个重要的实践教学环节,它与理论教学和实验教学相结合,培养学生综合运用所学的基础理论和掌握的基本技能来解决实际问题的能力。 课程设计通过完成一个课题的理论设计和实际调试工作,即能加深对所学知识的理解,又能培养综合的实践技能,从而提高分析问题和解决问题的能力。训练学生综合运用学过的数字逻辑的基本知识,独立设计比较复杂的数字电路的能力。通过实践教学引导学生在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。 二、课程设计要求 (一)教学要求 1.巩固和加深对数字逻辑各类型电路的设计方法及电子器件所构成电路的理解,并适当拓宽学生在电子线路领域的知识面。 2.初步掌握数字电路的设计、计算方法。能根据系统的技术指标,论证、拟订设计方案;选用合适的电路形式并进行工程计算及选择电路的元器件。 3.培养独立组织实验方案、正确选择使用实验仪器的能力,提高对功能电路和系统的安装调整、测试技术,以及综合运用所学理论知识解决实际问题的能力。(二)能力培养要求 1.通过查阅手册和有关文献资料培养学生独立分析和解决实际问题的能力。 2.通过实际电路方案的比较分析、设计计算、元件选取、安装调试等环节,掌握简单实用电路的分析方法和工程设计方法。 3.掌握常用仪器设备的使用方法,学会简单的实验调试,提高动手能力。 4.综合应用课程中学到的理论知识去独立完成一个设计任务。 5.培养严肃认真的工作作风和严谨的科学态度。 三、课程设计内容 从参考题目中自选1题进行资料查找和设计,具体课题及要求见附件1。 1.数码管显示控制器

集成电路课程设计报告

课程设计 班级: 姓名: 学号: 成绩: 电子与信息工程学院 电子科学系

CMOS二输入与非门的设计 一、概要 随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本论文讲的是数字集成电路版图设计的基本知识。然而在数字集成电路中CMOS与非门的制作是非常重要的。 二、CMOS二输入与非门的设计准备工作 1.CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路

2.计算相关参数 所谓与非门的等效反相器设计,实际上就是根据晶体管的串并联关系,再根据等效反相器中的相应晶体管的尺寸,直接获得与非门中各晶体管的尺寸的设计方法。具体方法是:将与非门中的VT3和VT4的串联结构等效为反相器中的NMOS 晶体管,将并联的VT 1、VT 2等效PMOS 的宽长比(W/L)n 和(W/L)p 以后,考虑到VT3和VT4是串联结构,为保持下降时间不变,VT 3和VT 4的等线电阻必须减小为一半,即他们的宽长比必须为反相器中的NMOS 的宽长比增加一倍,由此得到(W/L)VT3,VT4=2(W/L)N 。 因为考虑到二输入与非门的输入端IN A 和IN B 只要有一个为低电平,与非门输出就为高电平的实际情况,为保证在这种情况下仍能获得所需的上升时间,要求VT 1和VT 2的宽长比与反相其中的PMOS 相同,即(W/L)VT1,VT2=(W/L)P 。至此,根据得到的等效反向器的晶体管尺寸,就可以直接获得与非门中各晶体管的尺寸。 如下图所示为t PHL 和t PLH ,分别为从高到低和从低到高的传输延时,通过反相器的输入和输出电压波形如图所示。给其一个阶跃输入,并在电压值50%这一点测量传输延迟时间,为了使延迟时间的计算简单,假设反相器可以等效成一个有效的导通电阻R eff ,所驱动的负载电容是C L 。 图2 反相器尺寸确定中的简单时序模型 对于上升和下降的情况,50%的电都发生在: L eff C R 69.0=τ 这两个Reff 的值分别定义成上拉和下拉情况的平均导通电阻。如果测量t PHL 和t PLH ,可以提取相等的导通电阻。 由于不知道确定的t PHL 和t PLH ,所以与非门中的NMOS 宽长比取L-Edit 软件中设计规则文件MOSIS/ORBIT 2.0U SCNA Design Rules 的最小宽长比及最小长度值。 3.分析电路性质 根据数字电路知识可得二输入与非门输出AB F =。使用W-Edit 对电路进行仿真后得到的结果如图4和图5所示。

全自动洗衣机PLC控制课程设计

目录 第一章:全自动洗衣机概述及PLC应用 (2) 1、1全自动洗衣机发展概括 (2) 1、2 全自动洗衣机的PLC应用 (2) 1、3 PLC的选择 (2) 第二章:全自动洗衣机工作及要求 (2) 2、1全自动洗衣机控制要求 (2) 2、2全自动洗衣机的工作流程及原理 (3) 第三章:接线图及原理图 (4) 3、1控制系统硬件接线图 (4) 3、2控制系统原理图 (5) 第四章:程序流程图及说明 (5) 4、1程序流程说明、 (5) 4、2程序的流程图 (6) 第五章:全自动洗衣机PLC控制系统程序 (7) 5、1输入输出地址及定时器,计数器 (7) 5、2程序编制 (8) 第六章:参考文献 (16) 第七章:总结 (16) 第一章:全自动洗衣机概述及PLC应用 1、1全自动洗衣机发展概括 目前世界洗衣机年总产量近5000万台,而全自动洗衣机的产量呈增长趋势,在技术性能上正向着节水,节能,高效结构更趋合理的方向发展。微电脑控制功能,新型的洗涤方式,高

速脱水以及低噪音等方面都有了很大的提高。 近几年,我国的洗衣机制造技术得到迅速发展,从生产单桶波轮式,双桶波轮式洗衣机逐步向套桶波轮式全自动洗衣机与滚轮式全自动洗衣机方向发展,其中全自动洗衣机的年产量已占洗衣机总产量的10%左右。生产规模不断扩大,技术工艺日趋完善,产量质量逐步提高,以生产出技术性能优良的多种品牌的全自动洗衣机供应市场。 1、2 全自动洗衣机的PLC应用 洗衣机需要更好的满足人们的需求,必须借助于自动化技术的发展。而随着PLC技术的发展,用PLC来作为控制器,就能很好的满足全自动洗衣机对自动化的要求,并且控制方式灵活多样,控制模式可以根据不同场合的应用而有所不同。自动化技术的飞速发展使得洗衣机由初始的半自动洗衣机发展到现在的全自动洗衣机,又正在向自能化洗衣机方向发展。 1、3 PLC的选择 本设计应用了西门子公司生产的具有高性能价格比的微型可编程控制器S7—200系列PLC,设计实现全自动洗衣机控制系统。该系统充分利用了可编程控制器的多方面设计知识与方法,使该系统可靠稳定,使其应用范围得到扩展。 第二章:全自动洗衣机基本结构 2、1全自动洗衣机控制要求 1、全自动洗衣机控制系统的要求: (1) 按下启动按扭及水位选择开关,开始进水直到高(中、低)水 位,关水 (2) 2秒后开始洗涤 (3) 洗涤时,正转30秒,停2秒,然后反转30秒,停2秒 (4) 如此循环5次,总共320秒后开始排水,排空后脱水30秒 (5) 开始清洗,重复(1)~(4),清洗两遍 (6) 清洗完成,报警3秒并自动停机 (7) 若按下停车按扭,可手动排水(不脱水)与手动脱水(不计数) 2、2全自动洗衣机的工作流程与工作原理 1.全自动洗衣机的工作流程 全自动洗衣机的单循环工作流程示意图

数字逻辑课程设计数字时钟课程设计数电课程设计数字电子技术

数字逻辑课程设计 自从它被发明的那天起,就成为人们生活中必不可少的一种工具,尤其是在现在这个讲 究效率的年代,时钟更是在人类生产、生活、学习等多个领域得到广泛的应用。然而随着时 间的推移,人们不仅对于时钟精度的要求越来越高,而且对于时钟功能的要求也越来越多,时钟已不仅仅是一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的

功能。诸如闹钟功能、日历显示功能、温度测量功能、湿度测量功能、电压测量功能、频率测量功能、过欠压报警功能等。钟表的数字化给人们的生产生活带来了极大的方便,而且大大地扩展了钟表原先的报时功能。诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广播、自动起闭路灯、定时开关烘箱、通断动力设备、甚至各种定时电气的自动启用等,所有这些,都是以钟表数字化为基础的。可以说,设计多功能数字时钟的意义已不只在于数字时钟本身,更大的意义在于多功能数字时钟在许多实时控制系统中的应用。在很多实际应 用中,只要对数字时钟的程序和硬件电路加以一定的修改,便可以得到实时控制的实用系统, 从而应用到实际工作与生产中去。因此,研究数字时钟及扩大其应用,有着非常现实的意义。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路?目前,数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择? 前言 (2) 目录 (2) 题目 (2) 摘要 (2) 关键字 (3) 设计要求 (3) 正文 (3) 1电路结构与原理图 (3) 2数码显示器 (3) 60进制计数和24进制计数 (4) 校时 (7) 振荡器 (8) 3.计算、仿真的过程和结果 (9) 鸣谢 (11) 元器件清单 (11) 参考文献 (11) 总结与体会 (11) 教师评语 (12) 数字时钟的课程设计 摘要: 数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高 的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。 数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。目前, 数字钟的功能越来越强,并且有多种专门的大规模集成电路可供选择。本设计采用74LS290. 74LS47.BCD七段数码管和适当的门电路构成,可实现对时、分、秒等时间信息的采集和较时 功能地实现?

《数字逻辑》数字时钟课程设计报告资料

《数字逻辑》课程设计报告 题目数字时钟 学院(部)信息工程学院 专业计算机科学与技术 班级计算机一班 学生姓名 学号20132402 6 月29 日至 7 月 3 日共1 周 指导教师(签字)

题目 一.摘要: 钟表的数字化给人们的生产生活带来了极大的方便,并且极大的扩展了钟表原先的报时功能。诸如定时自动报有这些,都是以钟表数字化为基础的。因此,研究数字钟及扩大其应用,有着非常警、学校的按时自动打铃、时间程序自动控制、定时广播、定时启闭路灯,甚至各种定时电气的自启用等。所现实的意义。本次数电课设我组设计的数字时钟是由石英晶体振荡器、分频器、计数器、译码器、显示器、校时电路、报时电路和计时电路组成,石英晶体振荡器产生的信号经过分频器作为秒脉冲,秒脉冲送入计数器计数,计数结果通过“时”、“分”、“秒”译码器在七段显示器上显示时间。 二.关键词: 校时计时报时分频石英晶体振荡器 三.技术要求: 1、有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能; 2、有计时功能,时钟不会在计时的时候停下。计时范围是0~99秒; 3、有闹铃功能,闹铃响的时间由使用者自己设置,闹铃时间至少一分钟; 4、要在七段显示器(共阴极6片)显示时间; 5、电子钟要准确正常地工作。 四、方案论证与选择: 钟表的是长期使用的器件,误差容易积累由此增大。所以要求分频器产生的秒脉冲要极其准确。而石英晶体产生的信号是非常稳定的,所以我们使用石英晶体产生的信号经过分频电路作为秒脉冲。秒脉冲信号经过6级计数器,分别得到“秒”、“分”、“时”的个位、十位的计时。由实际的要求,“秒”、“分”计数器为60进制的计数器,小时为24进制。由于74LS160十进制加法计数器易于理解使用,我们在设计各个计数器时都是由采用74LS160芯片级联构成。在计时部分,最小单位是0.01s,我们采用555多谐振荡器产生100HZ的信号作为秒脉冲进入一个4级计数器,计时范围是0~99秒。石英晶体

《数字电路课程设计》

实验三旋转灯光电路与追逐闪光灯电路 一、实验目的 1.熟悉集成电路CD4029、CD4017、74LS138的逻辑功能。 2.学会用74LS04、CD4029、74LS138组装旋转灯光电路。 3. 学会用CD4069、CD4017组装追逐闪光灯电路。 二、实验电路与原理 1.旋转灯光电路: 图3-1 旋转灯光电路 将16只发光二极管排成一个圆形图案,按照顺序每次点亮一只发光二极管,形成旋转灯光。实现旋转灯光的电路如图3-1所示,图中IC1、R1、C1组成时钟脉冲发生器。IC2为16进制计数器,输出为4位二进制数,在每一个时钟脉冲作用下输出的二进制数加“1”。计数器计满后自动回“0”,重新开始计数,如此不断重复。 输入数据的低三位同时接到两个译码器的数据输入端,但是否能有译码器输出取决于使能端的状态。输入数据的第四位“D”接到IC3的低有效使能端G2和IC4的高有效使能端G1,当4位二进制数的高位D为“0”时,IC4的G1为“0”,IC4的使能端无效,IC4无译码输出,而IC3的G2为“0”,IC3使能端全部有效,低3位的CBA数据由IC3译码,输出D=0时的8个输出,即低8位输出(Y0~Y7)。当D为“1”时IC3的使能端处于无效状态,IC3无译码输出;IC4的使能端有效,低3位CBA数据由IC4译码,输出D=1时的8个输出,即高8位输出(Y8~Y15)。 由于输入二进制数不断加“1”,被点亮的发光二极管也不断地改变位置,形成灯光地“移动”。改变振荡器的振荡频率,就能改变灯光的“移动速度”。

注意:74LS138驱动灌电流的能力为8mA,只能直接驱动工作电流为5mA的超高亮发光二极管。若需驱动其他发光二极管或其他显示器件则需要增加驱动电路。 2. 追逐闪光灯电路 图 3-2 追 逐 闪 光 灯 电 路 ( 1) . CD 401 7 的 管 脚功能 CD4017集成电路是十进制计数/时序译码器,又称十进制计数/脉冲分频器。它是4000系列CMOS数字集成电路中应用最广泛的电路之一,其结构简单,造价低廉,性能稳定可靠,工艺成熟,使用方便。它与时基集成电路555一样,深受广大电子科技工作者和电子爱好者的喜爱。目前世界各大通用数字集成电路厂家都生产40171C,在国外的产品典型型号为CD4017,在我国,早期产品的型号为C217、C187、CC4017等。 (2)CD4017C管脚功能 CMOSCD40171C采用标准的双列直插式16脚塑封,它的引脚排列如图3-3(a)所示。 CC4017是国标型号,它与国外同类产品CD4017在逻辑功能、引出端和电参数等方面完全相同,可以直接互换。本书均以CD40171C为例进行介绍,其引脚功能如下: ①脚(Y5),第5输出端;②脚(Y1),第1输出端,⑧脚(Yo),第0输出端,电路清零 时,该端为高电平,④脚(Y2),第2输出端;⑤脚(Y6),第6输出端;⑥脚(Y7),第7输出端;⑦脚(Y3),第3输出端;⑧脚(Vss),电源负端;⑨脚(Y8),第8输出端,⑩脚(Y4),第4输出端;11脚(Y9),第9输出端,12脚(Qco),级联进位输出端,每输入10个时钟脉冲,就可得一个进位输出脉冲,因此进位输出信号可作为下一级计数器的时钟信号。13脚(EN),时钟输入端,脉冲下降沿有效;14脚(CP),时钟输入

数字逻辑课程设计报告

数字逻辑课程设计报告

数字逻辑课程设计 多功能数字钟 班级: 学号: 课程设计人: 指导老师: 课题: 完成时间:

一、设计目的: 学会应用数字系统设计方法进行电路设计,熟练地运用汇编语言。 二、设计任务及要求: 1.记时、记分、记秒 2.校时、校分、秒清0 3.整点报时 4.时间正常显示 5.闹时功能 三、设计思路: 将整个闹钟分为以下几个模块,每个模块中都有详细的各部分的设计思路,源代码及仿真图像,生成的器件。 1.计时模块 计小时:24进制计数器 计分、计秒:60进制计数器 计时间过程: 计秒:1HZ计数脉冲,0~59循环计数,计数至59时产生进位信号。 计分:以秒计数器进位信号作为分计数脉冲,0~59循环计数,59时产生进位。 计时:以分计数器进位信号作为时计数脉冲,0~23循环计数,23时清0。 二十四进制计数器代码: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity cnt24 is port (clk:in std_logic; qh,ql:out std_logic_vector(3 downto 0)); end cnt24; architecture behave of cnt24 is signal q1,q0:std_logic_vector(3 downto 0); begin process(clk) begin if(clk'event and clk='1')then if(q1="0010" and q0="0011")then q1<="0000";q0<="0000"; elsif(q0="1001")then q0<="0000";q1<=q1+'1'; else q0<=q0+'1'; end if; end if; qh<=q1; ql<=q0;

课程设计-数字逻辑课程设计

数字电路课程设计(学年设计、学年论文)任务书 学院计算机与信息工程学院专业网络工程 课程名称数字电路题目四路彩灯显示电路系统 的设计 完成期限自2013年12月1日至2014年1月5日共5周 内容及任务一、项目的目的 (1)加深对电子线路的基本知识的了解和对电路的构建; (2)通过对问题的分析,选取相关的原件,系统设计,把实际问题转化为仿真电路问题; (3)提高团队的合作能力和动手能力; 二、项目任务的主要内容和要求 (1)设计四路彩灯显示电路,接通电源后,彩灯可以自动按预先设置闪烁; (2)设置的彩灯花型由三个节拍组成 第一节拍:从左向右逐次渐亮,灯亮时间1S共用4S. 第二节拍:四路彩灯从右向左逐次渐灭,也需4S。 第三节拍:四路彩灯同时亮0.5秒,然后同时变暗,进行四次,所需时间也是四秒。 (3)三个节拍完成一个循环,一共需要12S。一次循环之后重复进行闪烁。 三、项目设计(研究)思路 系统主要包括脉冲源、分频器、节拍控制器等,脉冲源采用秒脉冲发生器,用以提供频率为1Hz的时钟信号;分频器将1Hz的时钟信号四分频,用以产生0.25Hz(即4S)的时钟信号;节拍控制器产生三个节拍循环的控制信号;节拍程序执行器完成在每个节拍下的系统动作,即数据的左移、右移和送数功能,可以使用双向通用移位寄存器74LS194完成;显示电路完成系统循环演示的指示,可以用发光二极管模拟。 四、具体成果形式和要求 具体成果:四路彩灯显示电路系统和设计文档;

其要求:设计思路清晰,给出整体设计框图;设计个单元电路,给出具体设计思路、电路器件。 进度安排 起止日期工作内容 12.1-12.10 选题,组员分工,明确任务,查找相关资料。 12.11-12.20 绘制电路原理图。 12.21-12.30 运行、调试。 12.31-1.3 编写课程设计报告、答辩。 主要参考资料[1]康华光主编.电子技术基础(数字部分)第五版.高等教育出版社。 [2]康华光主编.电子技术基础(模拟部分)第五版.高等教育出版社。 [3]蔡惟铮《数字电子线路基础》[M]哈尔滨,哈尔滨工业大学出版社。 [4]吕思忠《数字电路实验与课程设计》哈尔滨工程大学出版社。 [5]谢自美《电子线路设计》华中理工大学出版社。 指导教师 意见 (签字):×年×月×日 系(教研室) 主任意见 (签字):×年×月×日

数电课程设计题目汇总..

数电课程设计题目选 一、设计并制作一数字式温度计 〖基本要求〗采用电桥法,利用PT~100热电阻对0~200℃测温范围进行测量并送LED 数码管显示,要求测量分辨率为0.1℃,数据测量间隔时间为5秒。 〖提高要求〗1)针对不同的铂热电阻讨论不同的温度信号测量办法 2)利用电路对测温电路进行非线性校正,提高测温精度(电路非线性校正和EPROM 查表法非线性校正两种方法) 3)讨论误差的形成因素和减少误差的措施 4)进行简单的温度开关控制 〖参考原理框图〗系统参考原理框图如下: 〖主要参考元器件〗 MCl4433(1),LM324(1),七段数码管(4),CD4511(1),MC1413(1),铂热电阻使用普通 精密电位器代替。 二、十二小时电子钟 〖基本要求〗利用基本数字电路制作小时电子钟,要求显示时分秒;并能实现校时和校分的功能。 〖提高要求〗1)针对影响电子钟走时精度的因素提出改进方案 2)增加日期显示 3)实现倒计时功能 4)整点报时(非语音报时) 5)定时功能 〖参考原理框图〗: 〖主要参考元器件〗:CD4060,74LS74,74LS161,74LS248 电桥电路 供电电路 时钟电路 放大电路 A/D 转换 显示电路 时校 分校 秒校 24进制时计数器 单次或连续的脉冲 60进制分计数器 分频器 60进制秒计数器 译码电路 晶体振荡器 显示电路 译码电路 显示电路 显示电路 译码电路

三、电平感觉检测仪 〖基本要求〗:采用光电式摇晃传感器,其检测范围为±90℃,每摇晃一度传感器就输出一个脉冲信号给计数单元,在给定时间内测量到的脉冲数目就能表明该人的电平感觉,测试时采用头戴式传感器、闭上双目,单脚立地:保持静止,开始测试。定时时间为1分钟 〖提高要求〗 〖参考原理、框图〗: 〖主要参考元器件〗CD4060,555,74LS74 四、便携式快速心律计 基本要求〗利用数字电路制作一便携式快速心律计,用于在较短时间内测量脉搏跳动速率:并使用LED 显示。 〖提高要求〗1)提高测量精度的方法 2)设计能比较准确测量1S 内心跳的电路 〖参考原理框图〗 〖主要参考元器件〗CD4060,4528,4518;4511,14526 五、数字式定时开关 〖基本要求〗设计并制作一数字式定时开关,此开关采用BCD 拨盘预置开关时间,其最大定时时间为9秒,计数时采用倒计时的方式并通过一位LED 数码管显示。此开关预置时间以后通过另一按钮控 制并进行倒计时,当时间显示为0时,开关发出开关信号,输出端呈现高电平,开关处于开态,再按按钮时,倒计时又开始。计时时间到驱动扬声器报警。 〖提高要求〗 l)输出部分加远距离(100m)继电器进行控制 2)延长定时时间 3)探讨提高定时精度的方法 〖参考原理框图〗 外部操作开关 〖主要参考元器〗:CC4511,CC14522,CD4060 传感器 基准时间产生电路 倍频器 放大与整形 控制电路 计数译码 显 示电 路 秒脉冲发生器 计时器 译码显示 控制电路 报警电路

基于西门子plc全自动洗衣机课程设计

摘要本文介绍了利用西门子系列PLC对全自动洗衣机控制系统总体控制,阐述了控制方案。实现全自动洗衣机控制系统总体控制有多种,可以采用早期的模拟电路、数字电路或模数混合电路。近年来随着科技的飞速发展,单片机、PLC的应用不断地走向深入,同时带动传统的控制检测技术的不断更新。本文采用德国西门子公司生产的S7-200型PLC 作为核心控制器进行全自动洗衣机控制系统的设计,并且设计出了系统结构图、程序指令、梯形图以及输入输出端子的分配方案。同时根据全自动洗衣机控制系统总体控制要求和特点,确定PLC 的输入输出分配,并进行现场调试 ?关键字:PLC 全自动洗衣机控制系统 PLC程序设计 目录

设计体会 (21) 1 引言 从古到今,洗衣服都是一项难于逃避的家务劳动,在洗衣机出现以前,这项劳动并不像田园诗描绘的那样充满乐趣、手搓、脚踩、棒击、冲刷、摔打。这些不断重复的简单的体力劳动,留给人的感受常常是辛苦劳累。

1874年,“手洗时代”受到了前所未有的挑战——美国人比尔·布莱克斯发明了木制手摇洗衣机。 1880年,美国又出现了蒸汽洗衣机,蒸汽动力开始取代人力。之后,水力洗衣机,内燃机洗衣机也相继出现。 1911年,美国试制成功世界上第一台电动洗衣机,标志着人类家务劳动自动化的开端。1922年,电动洗衣机迎来一种崭新的洗衣方式——搅拌式。搅拌式洗衣机由美国玛依塔格公司研制成功。 70年代后期,微电脑控制的全自动洗衣机出现引领新的发展方向,让人耳目一新。 90年代,由于电动机调速技术的提高,洗衣机实现了较宽范围的转速变换与调节,诞生了许多新水流洗衣机。 全自动洗衣机其特点是能自动完成洗涤,漂洗和脱水的转换,整个过程不需要人工操作。这类洗衣机均采用套筒式结构,其进水,排水都采用电磁阀,由程序控制器按人们预先设计好的程序不断发出指令,驱动各执行器件动作,整个洗衣过程自动完成。所用的程序控制器可分为电动机驱动式和单片机式。从控制方式的发展阶段上分: 全自动洗衣机可分为两大类: 第一类电动控制洗衣机,它的程序控制器由电动元件组成。 第二类是电脑控制洗衣机,它的程序控制器由微型计算机组成。电动控制全自动洗衣机是较早出现的自动控制类家用电器,其产品类型还属于传统的机械产品,是自动控制的初级阶段。随着计算机的及微电子技术的发展,自动控制系统正在逐步实现硬件化。因此,电动控制洗衣机将逐步退出家电舞台。

数字逻辑课程设计-数字时钟

数字逻辑课程设计实验报告 题目数字钟 姓名桂大有 班级网络工程103班 学号109074360 指导教师陆勤 完成日期2012年5月21日

数字钟的设计 1.数字钟的功能描述 (1)计时和显示功能 采用24小时计时并以十进制数字显示时、分、秒(时从00-23,分、秒从00-59)。 (2)校对动能 当数字时钟走的有偏差时,应能够手动校时。 2.数字钟的设计思路 根据功能要求,整个数字时钟分为计时和校时两大部分。 计时部分秒计时电路接收1Hz时基信号,进行60进制计数,计满后秒值归0,并产生1/60Hz时钟信号;分钟计时电路接受1/60Hz时钟信号,进行60进制计数,计满后分钟值归0,并产生1/3600Hz时钟信号,小时计时电路接收1/3600Hz时钟信号,进行24小时计数,计满后小时、分、秒皆归0,如此循环往复。 校时部分,采用两个瞬态按键配合实现,1号键产生单脉冲,控制数字钟在计时/校时/校分/校秒四种状态间切换,2号键通过控制计数使能端让时/分/秒计数器发生状态翻转以达到指定的数值。 3.系统功能模块介绍 Ⅰ.模块一:数字钟总体原理电路。 其中包含:(1)分钟、秒计时电路(2)小时计时电路(3)计时/校时的切换

Ⅱ.采用原理图和HDL混合设计方式实现数字钟 ①分钟、秒计时电路 分钟、秒计时需要60进制计数,其电路图如下所示: 该电路图用两片74160采用同步连接构成60进制计数器,通过译码电路识别稳态“59”,输出低电平使计数器置数为0。整个技术循环为00—>01—>02—>…—>58—>59—>00—>…,共有60个稳定状态。计数值采用BCD码形式,Q7~Q4表示分钟或秒的十位,Q3~Q0表示分钟或秒的各位。EN输入端当正常计数状态时接收分钟计时电路的进位输出,,而在校时状态时接收校时脉冲用于控制小时值的翻转。计满进位输出端CO用于触发高一级计数器的技术动作。 ②小时计时电路(采用24时制,电路图如下所示)

数字逻辑数字频率计的设计课程设计报告

滁州学院 课程设计报告 课程名称:数字逻辑课程设计 设计题目:数字频率计的设计 系别:网络与通信工程系 专业:网络工程(无线传感器网络方向)组别:第七组 起止日期:2012年5月28日~2012年6 月18日指导教师:姚光顺 计算机与信息工程学院二○一二年制

课程设计任务书

目录 1绪论 (1) 1.1设计背景 (1) 1.2主要工作和方法 (1) 1.3本文结构 (1) 2相关知识 (1) 2.1数字频率计概念...................................................................................................................... .. (1) 2.2数字频率计组成 (1) 3系统设计 (2) 4系统实现 (2) 4.1计数译码显示电路 (2) 4.2控制电路 (3) 5系统测试与数据分析 (5) 6课程设计总结与体会 (8) 6.1设计总结 (8) 6.2设计体会 (8) 结束语 (9) 参考文献 (9) 附录 (10) 致谢 (12)

1绪论 1.1设计背景 数字频率计是一种基础测量仪器,到目前为止已有 30 多年的发展史。早期,设计师们追求的目标主要是扩展测量范围,再加上提高测量精度、稳定度等,这些也是人们衡量数字频率计的技术水平,决定数字频率计价格高低的主要依据。目前这些基本技术日臻完善,成熟。应用现代技术可以轻松地将数字频率计的测频上限扩展到微频段。 随着科学技术的发展,用户对数字频率计也提出了新的要求。对于低档产品要求使用操作方便,量程(足够)宽,可靠性高,价格低。而对于中高档产品,则要求有高分辨率,高精度,高稳定度,高测量速率;除通常通用频率计所具有的功能外,还要有数据处理功能,统计分析功能,时域分析功能等等,或者包含电压测量等其他功能。这些要求有的已经实现或者部分实现,但要真正完美的实现这些目标,对于生产厂家来说,还有许多工作要做,而不是表面看来似乎发展到头了。 随着数字集成电路技术的飞速发展,应用计数法原理制成的数字式频率测量仪器具有精度高、测量范围宽、便于实现测量过程自动化等一系列的突出特点。 1.2主要工作和方法 设计一个数字频率计。要求频率测量范围为1Hz-10kHz。数字显示位数为四位静态十进制计数显示被测信号。先确定好数字频率计的组成部分,然后分部分设计,最后组成电路。 1.3本文结构 本文第1部分前言主要说明频率计的用处和广泛性。第2部分简要说明了本次课程设计的要求。第3部分概要设计大致的勾画出本次设计的原理框架图和电路的工作流程图。第4部分简要说明4位二进制计数器74160的原理和搭建计数译码显示电路的原理,同时分析控制电路的功能,形成控制电路图,及搭建显示电路和控制电路的组合原理图。第5部分调试与操作说明,介绍相关的操作和输入不同频率是电路的显示情况。 2相关知识 2.1数字频率计介绍 2.1.1数字频率计概念 数字频率计是一种直接用十进制数字现设被测信号频率的一种测量装置,它不仅可以测量正弦波、方波、三角波等信号的频率,而且还可以用它来测量被测信号的周期。经过改装,在电路中增加传感器,还可以做成数字脉搏计、电子称、计价器等。因此,数字频率计在测量物理量方面有广泛的应用。 2.1.2数字频率计组成 数字频率计由振荡器、分频器、放大整形电路、控制电路、计数译码显示电路等部分组成。其中的控制脉冲采用时钟信号源替代,待测信号用函数信号发生器产生。数字频结构原理框图如图3.1

集成电路课程设计(CMOS二输入及门)

) 课程设计任务书 学生姓名:王伟专业班级:电子1001班 指导教师:刘金根工作单位:信息工程学院题目: 基于CMOS的二输入与门电路 初始条件: 计算机、Cadence软件、L-Edit软件 要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求) & 1、课程设计工作量:2周 2、技术要求: (1)学习Cadence IC软件和L-Edit软件。 (2)设计一个基于CMOS的二输入的与门电路。 (3)利用Cadence和L-Edit软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。 3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。 时间安排: 布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。 | 学习Cadence IC和L-Edit软件,查阅相关资料,复习所设计内容的基本理论知识。 对二输入与门电路进行设计仿真工作,完成课设报告的撰写。 提交课程设计报告,进行答辩。 指导教师签名:年月日系主任(或责任教师)签名:年月日

目录 # 摘要 (2) 绪论…....………………………………………….………………….. ..3 一、设计要求 (4) 二、设计原理 (4) 三、设计思路 (4) 3.1、非门电路 (4) 3.2、二输入与非门电路 (6) 、二输入与门电路 (8) } 四、二输入与门电路设计 (9) 4.1、原理图设计 (9) 4.2、仿真分析 (10) 4.3、生成网络表 (13) 五、版图设计........................ (20) 、PMOS管版图设计 (20) 、NMOS管版图设计 (22) 、与门版图设计 (23)

全自动洗衣机课程设计教学内容

全自动洗衣机的设计 一、题目《全自动洗衣机的设计》 1.1全自动洗衣机的介绍 洗衣机是一种在家庭中不可缺少的家用电器,发展非常快,而全自动式洗衣机因使用方便更加得到大家的青睐,全自动即进水、洗涤、漂洗、甩干等一系列过程自动完成,控制器通常设有几种洗涤程序,对不同的衣物可选择不同的洗涤方式。 1.2全自动洗衣机的发展背景 从古到今,洗衣服都是一项难于逃避的家务劳动,而在洗衣机出现以前,对于许多人而言,它并不像田园诗描绘的那样充满乐趣,手搓、棒击、冲刷、甩打……这些不断重复的简单的体力劳动,留给人的感受常常是:辛苦劳累。 1858年,汉密尔顿·史密斯制成了世界上第一台洗衣机。1874年,“手洗时代”受到了前所未有的挑战,美国人比尔·布莱克斯发明了世界上第一台人工搅动洗衣机。1911年美国人又研制了世界上第一台电动洗衣机。1920年美国的玛依塔格公司又把洗衣机的木制桶改为铝制桶体,第二年又把铝制桶体改为外层铸铝、内层为铜板的双层结构。1936年,他们又将搪瓷用于洗衣机桶体。与此同时,世界各地也相继出现了洗衣机。欧洲国家研究成功了喷流式洗衣机和滚筒式洗衣机。 1932年后,美国一家公司研制成功了第一台前装式滚筒全自动洗衣机,洗涤、漂洗和脱水都在同一个滚筒内自动完成,使洗衣机的发展跃上了一个新台阶。 这种滚筒洗衣机,目前在欧洲、美洲等地得到了广泛的应用。 第二次世界大战结束后,洗衣机得到了迅速的发展,研制出具有独特风格的波轮式洗衣机。这种洗衣机由于其波轮安装在洗衣桶底,又称涡卷式洗衣机。 近几十年,在工业发达国家,全自动洗衣机制造技术又得到迅速发展,其年总产量及社会普及率均以达到相当高得水平。像我国的世界500强海尔,由一个频临破产的企业一跃成为全球洗衣机顶级制造商。它制造的海尔洗衣机畅销全球。 1.3全自动洗衣机的发展前景 全自动洗衣机的发展首先表现在洗涤方式发生巨大变化。原先大多侧重于水流的改变、动力的加大。现在,超音波、电解水、臭氧和蒸汽洗涤的运用,使洗衣机的去污能力从单纯依靠洗衣粉、洗涤剂的化学作用和强弱变化的水流机械作用,向更高层次的健康、环保洗涤方式转变,特别是电解水、超音波技术在洗衣机行业的运用几乎改变了洗衣机的历史——洗衣不用或少用洗衣粉、洗涤剂,减少化学品对皮肤的损害和对环境的污染。电解水、臭氧、蒸汽的杀菌除味及消毒功能倍受青睐,引发了洗衣机消费健康潮。 另一变化就是高度自动化、智能化、人性化。从半自动、全自动到现在流行的人工智能、模糊控制,只需按一下按钮一切搞定!同时,用户可以按照自己的洗衣习惯,自主选择时间和方式,自编和记忆程序让用户真正做到随心所欲。人性化还表现在使用的方便和舒适,如子母分洗洗衣机可以做到不同衣物分开洗;斜桶和顶开滚筒可以做到取放衣物方便不需深弯腰;蒸汽烘干功能使得晾晒更加方便,DD直驱电机在节能降噪方面效果更加突出,等等。 另外,大容量成为不变的消费趋势。前几年,洗衣机容量多为4-5公斤,

数字逻辑课程设计 数字电子钟

课程设计(综合实验)报告 题目:第四个实验数字电子钟院系:计算机科学系 班级:计算计科学与技术1班学号: 学生姓名: 队员姓名: 指导教师:

《数字逻辑》综合实验 任务书 一、目的与要求 1 目的 1.1综合实验是教学中必不可少的重要环节,通过综合实验巩固、深化和扩展学生的理论知识与初步的专业技能,提高综合运用知识的能力,逐步增强实际工程训练。 1.2注重培养学生正确的设计思想,掌握综合实验的主要内容、步骤和方法。 1.3培养学生获取信息和综合处理信息的能力、文字和语言表达能力以及协作工作能力。 1.4提高学生运用所学的理论知识和技能解决实际问题的能 及其基本工程素质。 2.要求 2.1 能够根据设计任务和指标要求,综合运用电子技术课程中所学到的理论知识与实践技能独立完成一个设计课题。 2.2根据课题需要选择参考书籍,查阅手册、图表等有关文献资料。要求通过独立思考、深入钻研综合实验中所遇到的问题,培养自己分析、解决问题的能力。 2.3进一步熟悉常用电子器件的类型和特性,掌握合理选用的原则。 2.4学会电子电路的安装与调试技能,掌握常用仪器设备的正确

使用方法。利用“观察、判断、实验、再判断”的基本方法,解决实验中出现的问题。 2.5学会撰写综合实验总结报告。 2.6通过综合实验,逐步形成严肃认真、一丝不苟、实事求是的工作作风和科学态度,培养学生树立一定的生产观点、经济观点和全局观点。要求学生在设计过程中,坚持勤俭节约的原则,从现有条件出发,力争少损坏元件。 2.7在综合实验过程中,要做到爱护公物、遵守纪律、团结协作、注意安全。 二、主要内容 数字电子钟 设计一台能显示时﹑分、秒的数字电子钟,要求如下: 1)秒﹑分为00—59六十进制计数器,时为00—23二十四进制计数器; 2)可手动校正:可分别对秒﹑分﹑时进行手动脉冲输入调整或连续脉冲输入校正,(校正时不能输出进位)。 元器件选择 74LS162:4块与非门74LS00:2块共阳数码管LED 74LS161:2块GAL16V8:2块晶体振荡器:1MHZ GAL20V8:1块TDS-4实验箱 导线若干 所需要器件的图片如下

CMOS模拟集成电路课程设计

电子科学与技术系 课程设计 中文题目:CMOS二输入与非门的设计 英文题目: The design of CMOS two input NAND gate 姓名:张德龙 学号: 1207010128 专业名称:电子科学与技术 指导教师:宋明歆 2015年7月4日

CMOS二输入与非门的设计 张德龙哈尔滨理工大学电子科学与技术系 [内容摘要]随着微电子技术的快速发展,人们生活水平不断提高,使得科学技术已融入到社会生活中每一个方面。而对于现代信息产业和信息社会的基础来讲,集成电路是改造和提升传统产业的核心技术。随着全球信息化、网络化和知识经济浪潮的到来,集成电路产业的地位越来越重要,它已成为事关国民经济、国防建设、人民生活和信息安全的基础性、战略性产业。 集成电路有两种。一种是模拟集成电路。另一种是数字集成电路。本次课程设计将要运用S-Edit、L-edit、以及T-spice等工具设计出CMOS二输入与非门电路并生成spice文件再画出电路版图。 [关键词]CMOS二输入与非门电路设计仿真

目录 1.概述 (1) 2.CMOS二输入与非门的设计准备工作 (1) 2-1 .CMOS二输入与非门的基本构成电路 (1) 2-2.计算相关参数 (2) 2-3.电路spice文件 (3) 2-4.分析电路性质 (3) 3、使用L-Edit绘制基本CMOS二输入与非门版图 (4) 3-1.CMOS二输入与非门设计的规则与布局布线 (4) 3-2.CMOS二输入与非门的版图绘制与实现 (5) 4、总结 (6) 5、参考文献 (6)

1.概述 本次课程设计将使用S-Edit画出CMOS二输入与非门电路的电路图,并用T-spice生成电路文件,然后经过一系列添加操作进行仿真模拟,计算相关参数、分析电路性质,在W-edit中使电路仿真图像,最后将电路图绘制电路版图进行对比并且做出总结。 2.CMOS二输入与非门的设计准备工作 2-1 .CMOS二输入与非门的基本构成电路 使用S-Edit绘制的CMOS与非门电路如图1。 图1 基本的CMOS二输入与非门电路 1

PLC全自动洗衣机课程设计报告书

目录 前言 (1) 第一章全自动洗衣机控制系统方案 (2) 1.1 PLC控制系统的设计的基本准则 (3) 1.2 PLC的简要介绍 (4) 1.3 PLC的控制特点 (5) 1.4洗衣机的PLC控制系统 (6) 1.5控制系统理论 (7) 1.6主电路原理图………………………………………. 第二章可编程控制器的硬件设计…………………… 2.1可编程控制器的选择…………………………….. 2.2可编程控制器I/O口分配表……………………… 2.3外部接线图…………………………………….. 第三章可编程控制器的软件设计……………………. 3.1 全自动洗衣机的控制要求…………………………3.2 洗衣机工作流程图…………………………………3.3控制系统的梯形图……………………………….. 第四章课程设计体会………………………………… 参考文献………………………………………………..

前言 随着社会经济的发展和科学技术水平的提高,家庭电器全自动化成为必然的发展趋势。全自动洗衣机的产生极大的方便了人们的生活。洗衣机是国家电业唯一不打价格战的行业,经过几年的平稳发展,国产洗衣机无论在质量上还是功能上都和世界领先水平同步。纵观洗衣机市场,高效节能、省水、省电、环保型洗衣机一直在市场上占主导地位。洗衣机的全自动化、多功能化、智能化是其发展方向。基于全自动洗衣机的应用日益广泛,利用PLC控制,与传统的继电器逻辑控制系统相比较,其特点是能自动完成洗涤,漂洗和脱水的转换,整个过程不需要人工操作,可靠性、节能性得到了提高。PLC控制不需要大量的活动部件和电子元器件,它的接线也大大减少,与此同时系统维修简单、维修时间缩短。

相关文档
最新文档