时序逻辑电路练习题

时序逻辑电路练习题
时序逻辑电路练习题

一、填空题

1. 基本RS触发器,当R、S都接高电平时,该触发器具有____ ___功能。2.D 触发器的特性方程为 ___ ;J-K 触发器的特性方程为______。

3.T触发器的特性方程为。

4.仅具有“置0”、“置1”功能的触发器叫。

5.时钟有效边沿到来时,输出状态和输入信号相同的触发器叫____ _____。

6. 若D 触发器的D 端连在Q端上,经100 个脉冲作用后,其次态为0,则现态应为。

7.JK触发器J与K相接作为一个输入时相当于触发器。

8. 触发器有个稳定状态,它可以记录位二进制码,存储8 位二进制信息需要个触发器。

9.时序电路的次态输出不仅与即时输入有关,而且还与有关。

10. 时序逻辑电路一般由和两部分组成的。

11. 计数器按部各触发器的动作步调,可分为___ ___计数器和____ __计数器。

12. 按进位体制的不同,计数器可分为计数器和计数器两类;按计数过程中数字增减趋势的不同,计数器可分为计数器、计数器和计数器。13.要构成五进制计数器,至少需要级触发器。

14.设集成十进制(默认为8421码)加法计数器的初态为Q4Q3Q2Q1=1001,则经过5个CP脉冲以后计数器的状态为。

15.将某时钟频率为32MHz的CP变为4MHz的CP,需要个二进制计数器。

16. 在各种寄存器中,存放 N 位二进制数码需要个触发器。

17. 有一个移位寄存器,高位在左,低位在右,欲将存放在该移位寄存器中的二进制数乘上十进制数4,则需将该移位寄存器中的数移位,需要个移位脉冲。

18.某单稳态触发器在无外触发信号时输出为0态,在外加触发信号时,输出跳变为1态,因此其稳态为态,暂稳态为态。

19.单稳态触发器有___ _个稳定状态,多谐振荡器有_ ___个稳定状态。20.单稳态触发器在外加触发信号作用下能够由状态翻转到状态。21.集成单稳态触发器的暂稳维持时间取决于。

22. 多谐振荡器的振荡周期为T=tw1+tw2,其中tw1为正脉冲宽度,tw2为负脉冲宽度,则占空比应为____ ___。

23.施密特触发器有____个阈值电压,分别称作 ___ _____ 和 ___ _____ 。24.触发器能将缓慢变化的非矩形脉冲变换成边沿陡峭的矩形脉冲。25.施密特触发器常用于波形的与。

二、选择题

1. R-S型触发器不具有( )功能。

A. 保持

B. 翻转

C. 置1

D. 置0

2. 触发器的空翻现象是指()

A.一个时钟脉冲期间,触发器没有翻转

B.一个时钟脉冲期间,触发器只翻转一次

C.一个时钟脉冲期间,触发器发生多次翻转

D.每来2个时钟脉冲,触发器才翻转一次

3. 欲得到D触发器的功能,以下诸图中唯有图(A)是正确的。

4. 对于JK 触发器,若希望其状态由0转变为1,则所加激励信号是( )

A.JK=0X

B.JK=X0

C.JK=X1

D.JK=1X

5. 电路如图所示,D 触发器初态为0,则输出波形为( B )。

6. 下列触发器中不能用于移位寄存器的是( )。

A.D 触发器

B.JK 触发器

C.基本RS 触发器

D.负边沿触发D 触发器

7.下面4种触发器中,抗干扰能力最强的是( )

A.同步D 触发器

B.主从JK 触发器

C.边沿D 触发器

D.同步RS 触发器

8. 为使触发器可靠地翻转,输入信号必须先于时钟信号有效,这段时间间隔称为

( )。

A.延迟时间

B.保持时间

C.建立时间

D.转换时间

9.按各触发器的CP 所决定的状态转换区分,计数器可分为( )计数器。 A .加法、减法和可逆 B .同步和异步 C .二、十和M 进制 10. 触发器是一种 ( )。

A 、单稳态电路

B 、双稳态电路

C 、无稳态电路

11.至少( )片74197(集成4位二进制计数器)可以构成M=1212的计数。

A. 12

B. 11

C. 3

D. 2 12.555定时器组成的多谐振荡器属于( )电路。

A .单稳

B .双稳

C .无稳 13.能起到定时作用的电路是( )

A .施密特触发器

B .双稳态触发器

C .多谐振荡器

D .单稳态触发器 14.模为64的二进制计数器,它有( )位触发器构成。

A.64

B.6

C.8

D.32

15.555定时器电源电压为V CC ,构成施密特触发器其回差电压为( )

A. V CC

B.

2

1V CC

C.

3

2V CC

D.

3

1V CC 16.下列时序电路的状态图中,具有自启动功能的是( B )

17.多谐振荡器与单稳态触发器的区别之一是( )

A.前者有2个稳态,后者只有1个稳态

B.前者没有稳态,后者有2个稳态

C.前者没有稳态,后者只有1个稳态

D.两者均只有1个稳态,但后者的稳态需要一定的外界信号维持

18.555构成的单稳态触发器的触发脉冲宽度t i与暂稳态维持时间t w之间应满足( )。

A. t i<

B. t i=t w

C. t i>>t w

D. 没有关系

19.在以下各种电路中,属于时序电路的有()。

A.ROM B.编码器C.寄存器 D.数据选择器

三、判断题

1.一个5位的二进制加法计数器,由00000状态开始,经过169个输入脉冲后,

此计数器的状态为01001。

2.即使电源关闭,移位寄存器中的容也可以保持下去。

3.所有的触发器都能用来构成计数器和移位寄存器。

4.移位寄存器74LS194可串行输入并行输出,但不能串行输入串行输出。

5.二进制计数器既可实现计数也可用于分频。

6.同步计数器的计数速度比异步计数器快。

7.同步计数器与异步计数器的主要区别在于它们部的触发器是否同时发生翻

转。

8.由N个触发器构成的计数器,其最大的计数围是N2。

9.在计数器电路中,同步置零与异步置零的区别在于置零信号有效时,同步置零还要等到时钟信号到达时才能将触发器置零,而异步置零不受时钟的控制。

10.计数器的异步清零端或置数端在计数器正常计数时应置为无效状态。

11.时序电路通常包含组合电路和存储电路两个组成部分,其中组合电路必不可

少。

12.任何一个时序电路,可能没有输入变量,也可能没有组合电路,但一定包含

存储电路。

13.自启动功能是任何一个时序电路都具有的。

14.一组4位二进制数要串行输入移位寄存器,时钟脉冲频率为1kHZ,则经过

4ms可转换为4位并行数据输出。

15.若4位二进制减量计数器的初始状态为1000,则经过100个CP脉冲作用之

后的状态为0100。

16.当用异步清零端来构成M进制计数器时,一定要借助一个过渡状态M来实现

反馈清零。

17.当用同步清零端来构成M进制计数器时,不需要借助过渡状态就可以实现反

馈清零。

18.若用置数法来构成任意N进制计数器,则在状态循环过程中一定包含一个过

渡状态,该状态同样不属于稳定循环状态的围。

19.无论是用置零法还是用置数法来构成任意N进制计数器时,只要是置零或置

数控制端是异步的,则在状态循环过程中一定包含一个过渡状态;只要是同步的,则不需要过渡状态。

四、分析设计题

1、分析下图所示时序电路的逻辑功能。

要求:(1)写出电路的驱动方程、状态方程和输出方程;

(2)画出电路的状态转换图,并说明电路能否自启动。

2、JK触发器及CP、J、K、

D

R的波形分别如图37(a)、(b)所示,试画出Q端的波形。(设Q的初态为“0”)

S

R

1J

1K

CP

“1”

D

R Q

Q

J

K

D

R

CP

3、D触发器及输入信号D、

D

R的波形分别如图38(a)、(b)所示,试画出Q端的波形。(设Q的初态为“0”)

R

1D

CP

D

R Q

Q

D

D

CP

D

R

4、设下图中各触发器的初始状态皆为Q=0,试求出在CP信号连续作用下各触发器的次态方程。

5、分析下图中的计数器电路,说明这是多少进制的计数器。十进制计数器74160的功能表如表43所示。

6、分析下图中的计数器电路,画出电路的状态转换图,说明这是多少进制的计数器。十六进制计数器74161的功能表如表44所示。

7、下图是由两片同步十进制可逆计数器74LS192构成的电路,74LS192的真值表如附表1.2.1所示。

求:1、指出该电路是几进制计数器;

2、列出电路状态转换表的最后一组有效状态。

8、试分析下图中所示电路,说明它是几进制计数器。

1、解:(1)驱动方程:31

Q D =,12Q D =,123Q Q D =

3组合逻辑电路习题解答

3组合逻辑电路习题解答 33 自我检测题 1.组合逻辑电路任何时刻的输出信号,与该时刻的输入信号 有关 ,与以前的输入信号 无关 。 2.在组合逻辑电路中,当输入信号改变状态时,输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I ,输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为11010101时,输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时,当输入A 2A 1A 0=001时,输出07Y ~Y = 11111101 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器,输入信号为两个要比较的一位二进制数,用A 、B 表示,输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ),则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加,并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√,× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险,以下说法哪一种是正确并优先考虑的? A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时,输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时,处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中,若输入有4位代码,则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0,当A >B 时输出F =1,则F 表达式是 。

实验五--时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告 一、实验目的 1.掌握同步计数器设计方法与测试方法。 2.掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20等。 三、实验原理和实验电路 1.计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2.(1) 四位二进制(十六进制)计数器74LS161(74LS163) 74LSl61是同步置数、异步清零的4位二进制加法计数器,其功能表见表5.1。 74LSl63是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LSl61相同。二者的外部引脚图也相同,如图5.1所示。 表5.1 74LSl61(74LS163)的功能表 清零预置使能时钟预置数据输入输出 工作模式R D LD EP ET CP A B C D Q A Q B Q C Q D 0 ××××()××××0 0 0 0 异步清零 1 0 ××D A D B D C D D D A D B D C D D同步置数 1 1 0 ××××××保持数据保持 1 1 ×0 ×××××保持数据保持 1 1 1 1 ××××计数加1计数3.集成计数器的应用——实现任意M进制计数器 一般情况任意M进制计数器的结构分为3类,第一类是由触发器构成的简单计数器。第二类是由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。第一类,可利用时序逻辑电路的设计方法步骤进行设计。第二类,当计数器的模M较小时用一片集成计数器即可以实现,当M较大时,可通过多片计数器级联实现。两种实现方法:反馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4.实验电路: 十进制计数器 同步清零法 同步置数法

实验3-1 时序逻辑电路设计

实验3 时序逻辑电路设计(1) 实验内容与步骤: 1.设计一个4路扭环计时器电路。 要求:计数器的状态每隔1S变换一次;利用LED1-LED4(低电平驱动)显示计数器。 实验步骤 1)新建工程文件夹; 2)启动Quartus II; 3)选择File->New Project Wizard,建立新工程; 4)要求:工程名与顶层实体名为johnson,器件选择“Cyclone”中的EP1C6Q240C8 5)File->New->Verilog HDL File建立Verilog设计文件; module johnson(clk,led); input clk;//输入时钟信号 output [3:0] led;//输出计数器计数状态,对应于开发板中的LED1-LED4,低电平点亮reg [3:0] led 6)选择Processing->Start->Start Analysis&Elaboration对源程序进行语法分析;6)选择Processing->Start->Start Analysis&Synthesis进行电路综合; 7)选择Tools->Netlist Viewers->RTL Viewer,查看综合后得到的电路; 8)选择Assignments->Pins进行器件引脚分配; 序号信号引脚编号 1 led[0](对应于开发板LED1) 50 2 led1[1](对应于开发板LED2) 53 3 led2[2](对应于开发板LED3) 54 4 led3[3](对应于开发板LED4) 55 5 clk(48MHZ时钟信号输入) 28 9)选择Assignments->Device,选择“Device and Pin Options”按钮,在打开的“Device and Pin Options”对话框中,选择“Unused Pins”选项卡,从中选择“As input tri-stated”选项。10)选择Processing->Start->Start Fitter进行器件适配; 11)选择Processing->Start->Start Assembler生成下载文件; 12)连接好实验箱中的跳线,并将实验箱与计算机相连,并打开实验箱电源; 13)选择Tools->Porgrammer选项,将设计文件下载到FPGA中,并观察实验结果。

时序逻辑电路习题解答

5-1 分析图所示时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图。 CLK Z 图 题 5-1图 解:从给定的电路图写出驱动方程为: 0012 10 21()n n n n n D Q Q Q D Q D Q ?=??=?? =?? e 将驱动方程代入D 触发器的特征方程D Q n =+1 ,得到状态方程为: 10012110 12 1()n n n n n n n n Q Q Q Q Q Q Q Q +++?=??=??=??e 由电路图可知,输出方程为 2 n Z Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-1(a )所示,时序图如图题解5-1(b )所示。 题解5-1(a )状态转换图

1 Q 2/Q Z Q 题解5-1(b )时序图 综上分析可知,该电路是一个四进制计数器。 5-2 分析图所示电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入变量。 Y A 图 题 5-2图 解:首先从电路图写出驱动方程为: () 0110101()n n n n n D AQ D A Q Q A Q Q ?=? ?==+?? 将上式代入触发器的特征方程后得到状态方程 () 1011 10101()n n n n n n n Q AQ Q A Q Q A Q Q ++?=? ?==+?? 电路的输出方程为: 01n n Y AQ Q = 根据状态方程和输出方程,画出的状态转换图如图题解5-2所示

Y A 题解5-2 状态转换图 综上分析可知该电路的逻辑功能为: 当输入为0时,无论电路初态为何,次态均为状态“00”,即均复位; 当输入为1时,无论电路初态为何,在若干CLK 的作用下,电路最终回到状态“10”。 5-3 已知同步时序电路如图(a)所示,其输入波形如图 (b)所示。试写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图和时序图,并说明该电路的功能。 X (a) 电路图 1234CLK 5678 X (b)输入波形 图 题 5-3图 解:电路的驱动方程、状态方程和输出方程分别为: 0010110001101101 1, ,n n n n n n n n n n J X K X J XQ K X Q X Q XQ X Q XQ Q XQ XQ XQ Y XQ ++?==??==???=+=?? ?=+=+?= 根据状态方程和输出方程,可分别做出11 10,n n Q Q ++和Y 的卡诺图,如表5-1所示。由此 做出的状态转换图如图题解5-3(a)所示,画出的时序图如图题解5-3(b )所示。

电子技术——几种常用的时序逻辑电路习题及答案

第七章 几种常用的时序逻辑电路 一、填空题 1.(9-1易)与组合逻辑电路不同,时序逻辑电路的特点是:任何时刻的输出信号不仅与____________有关,还与____________有关,是______(a.有记忆性b.无记忆性)逻辑电路。 2.(9-1易)触发器是数字电路中______(a.有记忆b.非记忆)的基本逻辑单元。 3.(9-1易)在外加输入信号作用下,触发器可从一种稳定状态转换为另一种稳定状态,信号终止,稳态_________(a.不能保持下去 b. 仍能保持下去)。 4.(9-1中)JK 触发器是________(a.CP 为1有效b.CP 边沿有效)。 5.(9-1易)1n n n Q JQ KQ +=+是_______触发器的特性方程。 6.(9-1中)1n n Q S RQ +=+是________触发器的特性方程,其约束条件为___________。 7.(9-1易)1n n n Q TQ TQ +=+是_____触发器的特征方程。 8. (9-1中)在T 触发器中,若使T=____,则每输入一个CP ,触发器状态就翻转一次,这种具有翻转功能的触发器称为'T 触发器,它的特征方程是________________。 9.(9-1难)我们可以用JK 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器;令________________,即转换成D 触发器。 10.(9-1难)我们可以用D 触发器转换成其他逻辑功能触发器,令 __________________,即转换成T 触发器;令_______________, 即转换为'T 触发器。

(完整版)时序逻辑电路习题与答案

第12章时序逻辑电路 自测题 一、填空题 1.时序逻辑电路按状态转换情况可分为时序电路和时序电路两大类。 2.按计数进制的不同,可将计数器分为、和N进制计数器等类型。 3.用来累计和寄存输入脉冲个数的电路称为。 4.时序逻辑电路在结构方面的特点是:由具有控制作用的电路和具记忆作用电路组成。、 5.、寄存器的作用是用于、、数码指令等信息。 6.按计数过程中数值的增减来分,可将计数器分为为、和三种。 二、选择题 1.如题图12.1所示电路为某寄存器的一位,该寄存器为 。 A、单拍接收数码寄存器; B、双拍接收数码寄存器; C、单向移位寄存器; D、双向移位寄存器。 2.下列电路不属于时序逻辑电路的是。 A、数码寄存器; B、编码器; C、触发器; D、可逆计数器。 3.下列逻辑电路不具有记忆功能的是。 A、译码器; B、RS触发器; C、寄存器; D、计数器。 4.时序逻辑电路特点中,下列叙述正确的是。 A、电路任一时刻的输出只与当时输入信号有关; B、电路任一时刻的输出只与电路原来状态有关; C、电路任一时刻的输出与输入信号和电路原来状态均有关; D、电路任一时刻的输出与输入信号和电路原来状态均无关。 5.具有记忆功能的逻辑电路是。 A、加法器; B、显示器; C、译码器; D、计数器。 6.数码寄存器采用的输入输出方式为。 A、并行输入、并行输出; B、串行输入、串行输出; C、并行输入、串行输出; D、并行输出、串行输入。 三、判断下面说法是否正确,用“√"或“×"表示在括号 1.寄存器具有存储数码和信号的功能。( ) 2.构成计数电路的器件必须有记忆能力。( ) 3.移位寄存器只能串行输出。( ) 4.移位寄存器就是数码寄存器,它们没有区别。( ) 5.同步时序电路的工作速度高于异步时序电路。( ) 6.移位寄存器有接收、暂存、清除和数码移位等作用。() 思考与练习题 12.1.1 时序逻辑电路的特点是什么? 12.1.2 时序逻辑电路与组合电路有何区别? 12.3.1 在图12.1电路作用下,数码寄存器的原始状态Q3Q2Q1Q0=1001,而输入数码

时序逻辑电路实验报告

时序逻辑电路实验报告 一、实验目的 1. 加深理解时序逻辑电路的工作原理。 2. 掌握时序逻辑电路的设计方法。 3. 掌握时序逻辑电路的功能测试方法。 二、实验环境 1、PC机 2、Multisim软件工具 三、实验任务及要求 1、设计要求: 要求设计一个计数器完成1→3→5→7→9→0→2→4→6→8→1→…的循环计数(设初值为1),并用一个数码管显示计数值(时钟脉冲频率为约1Hz)。 2、实验内容: (1)按要求完成上述电路的功能。 (2)验证其功能是否正确。 四、实验设计说明(简述所用器件的逻辑功能,详细说明电路的设计思路和过程) 首先根据题目要求(即要完成1到9的奇数循环然后再0到8的偶数循环)画出真值表,如下图。画出真值表后,根据真值表画出各次态对应的卡诺图,如下图。然后通过化简卡诺图,得到对应的次态的状态方 程;

然后开始选择想要用于实现的该电路的器件,由于老师上课时所用的例题是用jk触发器完成的,我觉得蛮不错的,也就选择了同款的jk触发器;选好器件之后,根据状态方程列出jk触发器的驱动方程。然后根据驱动方程连接好线路图,为了连接方便,我也在纸上预先画好了连接图,以方便照着连接。接下来的工作就是在multisim上根据画好的草图连接器件了,然后再接上需要的显示电路,即可完成。

五、实验电路(画出完整的逻辑电路图和器件接线图)

六、总结调试过程所遇到的问题及解决方法,实验体会 1、设计过程中遇到过哪些问题?是如何解决的? 在设计过程中最大的问题还是忘记设计的步骤吧,因为老师是提前将实验内容已经例题讲解给我们听的,而我开始实验与上课的时间相隔了不短的时间,导致上课记下来的设计步骤忘得七七八八,不过好在是在腾讯课堂上得网课,有回放,看着回放跟着老师的思路走一遍后,问题也就迎刃而解了,后面的设计也就是将思路步骤走一遍而已,没再遇到什么困难。 2、通过此次时序逻辑电路实验,你对时序逻辑电路的设计是否有更清楚的认识?若没有,请分析原因;若有,请说明在哪些方面更加清楚。 通过这次时序逻辑电路实验,我最大的感触就是实验设计的思路与步骤一定要清晰,思路与步骤的清晰与否真的是造成实验设计是否困难的最重要的因素。清晰的话,做起实验来如同顺水推舟,毫不费力,不清晰的话则如入泥潭,寸步难行。

时序逻辑电路练习题90281

一、填空题 1. 基本RS触发器,当R、S都接高电平时,该触发器具有____ ___功能。 2.D 触发器的特性方程为___ ;J-K 触发器的特性方程为______。 3.T触发器的特性方程为。 4.仅具有“置0”、“置1”功能的触发器叫。 5.时钟有效边沿到来时,输出状态和输入信号相同的触发器叫____ _____。 6. 若D 触发器的D 端连在Q端上,经100 个脉冲作用后,其次态为0,则现态应 为。 7.JK触发器J与K相接作为一个输入时相当于触发器。 8. 触发器有个稳定状态,它可以记录位二进制码,存储8 位二进制信息 需要个触发器。 9.时序电路的次态输出不仅与即时输入有关,而且还与有关。 10. 时序逻辑电路一般由和两部分组成的。 11. 计数器按内部各触发器的动作步调,可分为___ ___计数器和____ __计数器。 12. 按进位体制的不同,计数器可分为计数器和计数器两类;按计数过 程中数字增减趋势的不同,计数器可分为计数器、计数器和计数器。13.要构成五进制计数器,至少需要级触发器。 14.设集成十进制(默认为8421码)加法计数器的初态为Q4Q3Q2Q1=1001,则 经过5个CP脉冲以后计数器的状态为。 15.将某时钟频率为32MHz的CP变为4MHz的CP,需要个二进制计数器。 16. 在各种寄存器中,存放N 位二进制数码需要个触发器。 17. 有一个移位寄存器,高位在左,低位在右,欲将存放在该移位寄存器中的二 进制数乘上十进制数4,则需将该移位寄存器中的数移位,需要 个移位脉冲。 18.某单稳态触发器在无外触发信号时输出为0态,在外加触发信号时,输出跳 变为1态,因此其稳态为态,暂稳态为态。 19.单稳态触发器有___ _个稳定状态,多谐振荡器有_ ___个稳定状态。 20.单稳态触发器在外加触发信号作用下能够由状态翻转到状 态。 21.集成单稳态触发器的暂稳维持时间取决于。 22. 多谐振荡器的振荡周期为T=tw1+tw2,其中tw1为正脉冲宽度,tw2为负脉冲 宽度,则占空比应为____ ___。 23.施密特触发器有____个阈值电压,分别称作___ _____ 和___ _____ 。 24.触发器能将缓慢变化的非矩形脉冲变换成边沿陡峭的矩形脉冲。 25.施密特触发器常用于波形的与。 二、选择题 1. R-S型触发器不具有( )功能。 A. 保持 B. 翻转 C. 置1 D. 置0 2. 触发器的空翻现象是指() A.一个时钟脉冲期间,触发器没有翻转 B.一个时钟脉冲期间,触发器只翻转一次 C.一个时钟脉冲期间,触发器发生多次翻转 D.每来2个时钟脉冲,触发器才翻转一次 3. 欲得到D触发器的功能,以下诸图中唯有图(A)是正确的。

《时序逻辑电路》练习题及答案

《时序逻辑电路》练习题及答案 [6.1] 分析图P6-1时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,说明电路能否自启动。 图P6-1 [解] 驱动方程:311Q K J ==, 状态方程:n n n n n n n Q Q Q Q Q Q Q 13131311⊕=+=+; 122Q K J ==, n n n n n n n Q Q Q Q Q Q Q 12212112 ⊕=+=+; 33213Q K Q Q J ==,, n n n n Q Q Q Q 12313 =+; 输出方程:3Q Y = 由状态方程可得状态转换表,如表6-1所示;由状态转换表可得状态转换图,如图A6-1所示。电路可以自启动。 表6-1 n n n Q Q Q 123 Y Q Q Q n n n 111213+++ n n n Q Q Q 123 Y Q Q Q n n n 1112 13+++ 0 00 00 1 010 01 1 0010 0100 0110 1000 100 10 1 110 11 1 000 1 011 1 010 1 001 1 图A6-1 电路的逻辑功能:是一个五进制计数器,计数顺序是从0到4循环。 [6.2] 试分析图P6-2时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图。A 为输入逻辑变量。

图P6-2 [解] 驱动方程:21 Q A D =, 21 2Q Q A D = 状态方程:n n Q A Q 21 1 =+, )(122112n n n n n Q Q A Q Q A Q +==+ 输出方程:21Q Q A Y = 表6-2 由状态方程可得状态转换表,如表6-2所示;由状态转换表 可得状态转换图,如图A6-2所示。 电路的逻辑功能是:判断A 是否连续输入四个和四个以上“1” 信号,是则Y=1,否则Y=0。 图A6-2 [6.3] 试分析图P6-3时序电路的逻辑功能,写出电路的驱动方程、状态方程和输出方程,画出电路的状态转换图,检查电路能否自启动。 图P6-3 [解] 321Q Q J =,11=K ; 12Q J =,312Q Q K =; 23213Q K Q Q J ==, =+11n Q 32Q Q ·1Q ; 211 2 Q Q Q n =++231Q Q Q ; 3232113Q Q Q Q Q Q n +=+ Y = 32Q Q 电路的状态转换图如图A6-3所示,电路能够自启动。 图A6-3 [6.4] 分析图P6-4给出的时序电路,画出电路的状态转换图,检查电路能否自启动,说明电路实现的功能。A 为输入变量。 n n Q AQ 12 Y Q Q n n 1 112++ 000 00 1 010 01 1 100 11 1 110 10 1 010 100 110 00 1 11 1 100 010 000

实验三时序逻辑电路

实验三时序逻辑电路 学习目标: 1、掌握时序逻辑电路的一般设计过程 2、掌握时序逻辑电路的时延分析方法,了解时序电路对时钟信号相关参数的基本要求 3、掌握时序逻辑电路的基本调试方法 4、熟练使用示波器和逻辑分析仪观察波形图 实验内容: 1、广告流水灯(第 9 周课内验收)用触发器、组合函数器件和门电路设计一个广告流水灯,该流水灯由 8 个 LED 组成,工作时始终为 1 暗 7 亮,且这一个暗灯循环右移。 (1) 写出设计过程,画出设计的逻辑电路图,按图搭接电路 (2) 将单脉冲加到系统时钟端,静态验证实验电路 (3) 将 TTL 连续脉冲信号加到系统时钟端,用示波器观察并记录时钟脉冲 CP、触发器的输出端 Q2、Q1、 Q0 和 8 个 LED 上的波形。 2、序列发生器(第 10 周课内实物验收计数器方案)分别用 MSI 计数器和移位寄存器设计一个具有自启动功能的 01011 序列信号发生器 (1) 写出设计过程,画出电路逻辑图 (2) 搭接电路,并用单脉冲静态验证实验结果 (3) 加入 TTL 连续脉冲,用示波器观察观察并记录时钟脉冲 CLK、序列输出端的波形。 3、4 位并行输入-串行输出曼切斯特编码电路(第10周课内验收,基础要求占70%,扩展要求占30%) 在电信与数据存储中, 曼彻斯特编码(Manchester coding),又称自同步码、相位编码(phase encoding,PE),它能够用信号的变化来保持发送设备和接收设备之间的同步,在以太网中,被物理层使用来编码一个同步位流的时钟和数据。曼彻斯特编码用电压的变化来分辨 0 和 1,从高电平到低电平的跳变代表 0,而从低电平到高电平的跳变代表 1。信号的保持不会超过一个比特位的时间间隔。即使是 0 或 1 的序列,信号也将在每个时间间隔的中间发生跳变。这种跳变将允许接收设备的时钟与发送设备的时钟保持一致,图 3.1 为曼切斯特编码的例子。 设计一个电路,它能自动加载 4 位并行数据,并将这4位数据逐个串行输出(高位在前),每个串行输出位都被编码成曼切斯特码,当 4 位数据全部传输完成后,重新加载新数据,继续传输,如图 3.2 所示。

实验三 时序逻辑电路的VHDL设计

实验三时序逻辑电路的VHDL设计 一、实验目的与要求 1、目的 (1)熟悉VHDL语言的编程方法 (2)学会利用VHDL语言设计实现时序逻辑功能器件的逻辑功能。 (3)总结体会VHDL语言的编程技巧方法 2、要求 (1)调试程序要记录调试过程中出现的问题及解决办法; (2)给出每个问题的算法或画出流程图; (3)编写程序要规范、正确,上机调试过程和结果要有记录,并注意调试程序集成环境的掌握及应用,不断积累编程及调试经验; (4)做完实验后给出本实验的实验报告。 二、实验设备、环境 PII以上计算机,装有QuartusII软件 三、方法与步骤 (一)教师简单回顾所需知识并演示较一个简单功能的实现过程。 1、简单回顾组合逻辑电路的特点及常用逻辑功能器件的功能 2、回顾QuartusII的VHDL操作步骤 3、以JKFF为例,重点演示该时序逻辑单元的VHDL设计过程。 (1)JKFF的参考VHDL源程序 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY JK_FF IS PORT(J,K:IN STD_LOGIC; CLK:IN STD_LOGIC; Q:OUT STD_LOGIC); END JK_FF; ARCHITECTURE A OF JK_FF IS SIGNAL QTMP:STD_LOGIC; SIGNAL J_K:STD_LOGIC_VECTOR(0 TO 1); BEGIN J_K<=J&K; PROCESS(CLK,J_K) BEGIN IF CLK'EVENT AND CLK='1' THEN CASE J_K IS WHEN "00"=>NULL;

第3章组合逻辑电路1

第 3章 组合逻辑电路 逻辑电路按照逻辑功能的不同可分为两大类:一类是组合逻辑电路(简称组合电路), 另一类是时序逻辑电路(简称时序电路)。所谓组合电路是指电路在任一时刻的输出状态只与同一时刻各输入状态的组合有关,而与前一时刻的输出状态无关。组合电路的示意图如图所示。组合逻辑电路的特点: (1) 输出、输入之间没有反馈延迟通路。 (2) 电路中不含记忆元件。 图 组合电路示意图 组合逻辑电路的分析方法和设计方法 (1)3.1.1组合逻辑电路的分析方法 分析组合逻辑电路的目的是为了确定已知电路的逻辑功能,或者检查电路设计 是否合理。 组合逻辑电路的分析步骤如下: (1) 根据已知的逻辑图, 从输入到输出逐级写出逻辑函数表达式。 (2) 利用公式法或卡诺图法化简逻辑函数表达式。 (3) 列真值表, 确定其逻辑功能。 例 1 分析如图所示组合逻辑电路的功能。 解(1) (2)化简 (3) 例真值表:如表3·1所示 图 例 1 的逻辑电路 X 1X 2 X n 12 m 输入信号 输出信号 AC BC AB Y ??=AC BC AB Y ++= A B B C A C Y

表例1的真值表 由表可知,若输入两个或者两个以上的1(或0), 输出Y为1(或0), 此电路在实际应用中可作为多数表决电路使用。 例 2分析如图所示组合逻辑电路的功能。 解(1) 写出如下逻辑表达式: (2) 化简AB Y= 1 AB A Y A Y? = ? = 1 2 B AB B Y Y? = ? = 1 3 B AB AB A Y Y Y? ? = = 3 2 B AB AB A Y? ? = ) ( ) (B AB AB A+ ? + = AB B A+ = B A⊕ =

实验五时序逻辑电路实验报告

实验五时序逻辑电路(计数器和寄存器)-实验报告一、实验目的 1掌握同步计数器设计方法与测试方法。 2 ?掌握常用中规模集成计数器的逻辑功能和使用方法。 二、实验设备 设备:THHD-2型数字电子计数实验箱、示波器、信号源 器件:74LS163、74LS00、74LS20 等。 三、实验原理和实验电路 1计数器 计数器不仅可用来计数,也可用于分频、定时和数字运算。在实际工程应用中,一般很少使用小规模的触发器组成计数器,而是直接选用中规模集成计数器。 2. (1)四位二进制(十六进制)计数器74LS161 (74LS163) 74LS161是同步置数、异步清零的4位二进制加法计数器,其功能表见表。 74LS163是同步置数、同步清零的4位二进制加法计数器。除清零为同步外,其他功能与74LS161相同。二者的外部引脚图也相同,如图所示。 3.集成计数器的应用一一实现任意M进制计数器

Eft CR IK rh th Ih ET 7-I1A C1M /( 制扭环计数 同步清零法器 同步置数法 般情况任意M 进制计数器的结构分为 3类,第一类是由触发器构成的简单计数器。 第 二类是 由集成二进制计数器构成计数器。第三类是由移位寄存器构成的移位寄存型计数器。 第一类,可利用时序逻辑电路的设计方法步骤进行设计。 第二类,当计数器的模 M 较小时用 一片集成计数器即可以实现,当 M 较大时,可通过多片计数器级联实现。两种实现方法:反 馈置数法和反馈清零法。第三类,是由移位寄存器构成的移位寄存型计数器。 4?实验电路: 十进制计数器 1

图74LS161 (74LS163)外部引脚图 四、实验内容及步骤 1 .集成计数器实验 (1)按电路原理图使用中规模集成计数器74LS163和与非门74LS00,连接成一个同步置数或同步清零十进制计数器,并将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二极管的变化,记录得到电路计数过程和状态的转换规律。 (2)根据电路图,首先用D触发器74LS7474构成一个不能自启的六进制扭环形计数器,同样将输出连接至数码管或发光二极管。然后使用单次脉冲作为触发输入,观察数码管或发光二 极管的变化,记录得到电路计数过程和状态的转换规律。注意观察电路是否能自启,若不能自启,则将电路置位有效状态。接下来再用D触发器74LS7474构成一个能自启的六进制扭环 形计数器,重复上述操作。 2?分频实验 依据实验原理图用74LS163及74LS00组成一个具有方波输出的六分频电路。选择适当时钟输入方式及频率(CP接连续波脉冲),用双踪示波器观察并记录时钟与分频输出信号的时序波形。 五、实验结果及数据分析 1 .集成计数实验同步清零和同步置数的十进制加一计数器状态转换过程分别如下所示: 0000 : 0001 : 0010 : 0011 ; 0100 爲00*卄庇爲爲卄yh 六进制扭环形计数器的状态转换过程如下:

实验三 组合逻辑电路

实验三组合逻辑电路(常用门电路、译码器和数据选择器) 一、实验目的 1.掌握组合逻辑电路的设计方法 2.了解组合逻辑电路的冒险现象与消除方法 3.熟悉常用门电路逻辑器件的使用方法 4.熟悉用门电路、74LS138和74LS151进行综合性设计的方法 二、实验原理及实验资料 (一)组合电路的一般设计方法 1.设计步骤 根据给出的实际逻辑问题,求出实现这一逻辑功能的最简单逻辑电路,这就是设计组合逻辑电路时要完成的工作。组合逻辑电路的一般设计步骤如图3.1所示。 图3.1 组合逻辑电路的一般设计步骤 设计组合逻辑电路时,通常先将实际问题进行逻辑抽象,然后根据具体的设计任务要求列出真值表,再根据器件的类型将函数式进行化简或变换,最后画出逻辑电路图。 2. 组合电路的竞争与冒险(旧实验指导书P17~20) (二)常用组合逻辑器件 1.四二输入与非门74LS00 74LS00为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图3.2所示。它共有四个独立的二输入“与非”门,每个门的构造和逻辑功能相同。 图3.2 74LS00引脚排列及内部逻辑结构 2.二四输入与非门74LS20

74LS20为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图3.3所示。它共有两个独立的四输入“与非”门,每个门的构造和逻辑功能相同。 图3.3 74LS20引脚排列及内部逻辑结构 3.四二输入异或门74LS86 74LS86为双列直插14脚塑料封装,外部引脚排列和内部逻辑结构如图3.4所示。它共有四个独立的二输入“异或”门,每个门的构造和逻辑功能相同。 图3.4 74LS86引脚排列及内部逻辑结构 3.3线-8线译码器74LS138 74LS138是集成3线-8线译码器,其功能表见表3.1。它的输出表达式为 i A B i Y G G G m 122(i =0,1,…7;m i 是最小项),与基本门电路配合使用,它能够实现任何三变量的逻辑函数。74LS138为双列直插16脚塑料封装,外部引脚排列如图3.5所示。

实验3 组合逻辑电路

实验三组合逻辑电路 一、实验目的 1.掌握组合逻辑电路的设计方法 2.熟悉常用组合逻辑器件的使用方法 3.熟悉用逻辑门电路、74LS138和74LS151进行综合性设计的方法 二、试验设备和器件 设备:数字电子技术试验箱 器件:74LS00,74LS20,74LS86,74LS138,74LS151 三、实验内容 1.实现一位全加器 (1) 按照组合逻辑电路的一般设计步骤,用基本门电路(74LS00,74LS86)实现 一位全加器; (2) 用1片74LS138和1片74LS20实现一位全加器。 2. 设计一个监测信号灯工作状态的逻辑电路,每一组信号灯由红、黄、绿三盏构成,仅有红灯R亮、仅有绿灯G亮、黄灯Y和绿灯G同时亮为正常工作状态,其余为故障状态。故障状态时要发出报警信号。要求用74LS151实现。 (1) 逻辑抽象。红黄绿三盏信号灯的状态为输入变量,分别用R、Y、G表示,并规定灯亮时为1,灭时为0;故障信号为输出变量,用Z表示,并规定正常工作状态下Z为0,发生故障时Z为1; (2) 列真值表于表3-1;

(3) 根据真值表写出用最小项表示的Z的逻辑表达式; (4) 按照逻辑表达式进行电路连接,画出电路连接图,并对电路进行测试。 3. 设计并实现实验指导书中四、3的电话程控系统(选作) 四、实验报告 1.实验预习 (1) 熟练掌握组合逻辑电路的一般设计步骤; (2) 了解74LS00,74LS20,74LS86,74LS138,74LS151的功能表,引脚图和使用注意事项,熟练掌握使用它们实现逻辑函数的方法; (3) 完成实验的预习报告,包括:实验目的、试验设备、布置的实验内容及步骤、原始数据记录表格及设计电路。 2. 实验及数据处理 (1) 根据布置的实验内容认真完成实验中的各项任务,仔细观察实验中的各种现象并加以分析; (2) 完成真值表,记录实验数据并进行分析。 3. 思考题 (1) 3-8线译码器74LS138在正常工作状态下,输入011 ABC 时,哪一个译码输出端为有效电平?由此说明A、B、C中哪一个为高位输入端? (2) 若用74LS138译码器实现数据分配器,应选择74LS138的哪个引脚作为数据分配器的数据输入端? 4.实验的注意事项及主要经验教训

实验三vhdl时序逻辑电路设计

实验三 VHDL 时序逻辑电路设计 一、实验目的 1.熟悉用VHDL语言设计时序逻辑电路的方法 2.熟悉用Quartus文本输入法进行电路设计 二、实验所用仪器元件及用途 1.计算机:装有Quartus软件,为VHDL语言提供操作场所。 2.直流稳压电源:通过USB接口实现,为实验开发板提供稳定电源。 3.数字系统与逻辑设计实验开发板:使试验结果下载到开发板上,实现整个实验的最终结果。 三、实验内容 1.用VHDL语言设计实现一个8421码十进制计数器。 (1)实验内容及要求:在Quartus平台上设计程序和仿真题目要求,并下载到实验板上验证试验结果。 (2)试验结果:VHDL代码和仿真结果。 2.用VHDL语言设计实现一个分频系数为8,分频输出信号占空比为50%的分频器。 (1)实验内容及要求:在Quartus平台上设计程序和仿真题目要求。 (2)试验结果:VHDL代码和仿真结果。 3.用VHDL语言设计实现一个控制8个发光二极管亮灭的电路。 (1)实验内容及要求:在Quartus平台上设计程序和仿真题目要求,并下载到实验板上验证试验结果。 a.单点移动模式:一个点在8个发光二极管上来回的亮 b.幕布式:从中间两个点,同时向两边依次点亮直至全亮,然后再向中间 点灭,依次往复 c.通过拨码开关或按键控制两种模式的转换 (2)试验结果:VHDL代码和仿真结果。 四、实验设计思路及过程 1.8421码十进制计数器状态转移表 左图为8421码十进制 计数器的状态转移表,abcd 为初状态,ABCD为下一状 态,每当有“1”出现时, 相应的管脚就亮灯,从而从 0000到1001的灯依次出 现。 VHDL代码如下: LIBRARY IEEE;

时序逻辑电路习题

触发器 一、单项选择题: (1)对于D触发器,欲使Q n+1=Q n,应使输入D=。 A、0 B、1 C、Q D、 (2)对于T触发器,若原态Q n=0,欲使新态Q n+1=1,应使输入T=。 A、0 B、1 C、Q (4)请选择正确的RS触发器特性方程式。 A、 B、 C、 (约束条件为) D、 (5)请选择正确的T触发器特性方程式。 A、 B、 C、 D、 (6)试写出图所示各触发器输出的次态函数(Q )。 n+1 A、 B、 C、 D、 (7)下列触发器中没有约束条件的是。 A、基本RS触发器 B、主从RS触发器 C、同步RS触发器 D、边沿D触发器 二、多项选择题: (1)描述触发器的逻辑功能的方法有。 A、状态转换真值表 B、特性方程 C、状态转换图 D、状态转换卡诺图 (2)欲使JK触发器按Q n+1=Q n工作,可使JK触发器的输入端。

A、J=K=0 B、J=Q,K= C、J=,K=Q D、J=Q,K=0 (3)欲使JK触发器按Q n+1=0工作,可使JK触发器的输入端。 A、J=K=1 B、J=0,K=0 C、J=1,K=0 D、J=0,K=1 (4)欲使JK触发器按Q n+1=1工作,可使JK触发器的输入端。 A、J=K=1 B、J=1,K=0 C、J=K=0 D、J=0,K=1 三、判断题: (1)D触发器的特性方程为Q n+1=D,与Q 无关,所以它没有记忆功能。() n (2)同步触发器存在空翻现象,而边沿触发器和主从触发器克服了空翻。 () (3)主从JK触发器、边沿JK触发器和同步JK触发器的逻辑功能完全相同。() (8)同步RS触发器在时钟CP=0时,触发器的状态不改变( )。 (9)D触发器的特性方程为Q n+1=D,与Q n无关,所以它没有记忆功能( )。 (10)对于边沿JK触发器,在CP为高电平期间,当J=K=1时,状态会翻转一次( )。 四、填空题: (1)触发器有()个稳态,存储8位二进制信息要 ()个触发器。 (2)在一个CP脉冲作用下,引起触发器两次或多次翻转的现象称为触发器的(),触发方式为()式或()式的触发器不会出现这种现象。 (3)按逻辑功能分,触发器有()、()、()、()、()五种。 (4)触发器有()个稳定状态,当=0,=1时,称为()状态。 时序逻辑电路 一、单项选择题: (2)某512位串行输入串行输出右移寄存器,已知时钟频率为4MHZ,数据从输入端到达输出端被延迟多长时间? A、128μs B、256μs C、512μs D、1024μs (3)4个触发器构成的8421BCD码计数器共有()个无效状态。 A、6 B、8 C、10 D、4 (4)四位二进制计数器模为 A、小于16 B、等于16 C、大于16 D、等于10 (5)利用异步预置数端构成N进制加法计数器,若预置数据为0,则应将()所对应的状态译码后驱动控制端。 A、N B、N-1 C、N+1 (7)采用集成中规模加法计数器74LS161构成的电路如图所示,选择正确答案。 A、十进制加法计数器 B、十二进制加法计数器

3组合逻辑电路习题解答

自我检测题 1.组合逻辑电路任何时刻的输出信号.与该时刻的输入信号 有关 .与以前的输入信号 无关 。 2.在组合逻辑电路中.当输入信号改变状态时.输出端可能出现瞬间干扰窄脉冲的现象称为 竞争冒险 。 3.8线—3线优先编码器74LS148的优先编码顺序是7I 、6I 、5I 、…、0I .输出为 2Y 1Y 0Y 。输入输出均为低电平有效。当输入7I 6I 5I …0I 为11010101时.输出2Y 1Y 0Y 为 010 。 4.3线—8线译码器74HC138处于译码状态时.当输入A 2A 1A 0=001时.输出07Y ~Y = 11111101 。 5.实现将公共数据上的数字信号按要求分配到不同电路中去的电路叫 数据分配器 。 6.根据需要选择一路信号送到公共数据线上的电路叫 数据选择器 。 7.一位数值比较器.输入信号为两个要比较的一位二进制数.用A 、B 表示.输出信号为比较结果:Y (A >B ) 、Y (A =B )和Y (A <B ).则Y (A >B )的逻辑表达式为B A 。 8.能完成两个一位二进制数相加.并考虑到低位进位的器件称为 全加器 。 9.多位加法器采用超前进位的目的是简化电路结构 × 。 (√.× ) 10.组合逻辑电路中的冒险是由于 引起的。 A .电路未达到最简 B .电路有多个输出 C .电路中的时延 D .逻辑门类型不同 11.用取样法消除两级与非门电路中可能出现的冒险.以下说法哪一种是正确并优先考虑的? A .在输出级加正取样脉冲 B .在输入级加正取样脉冲 C .在输出级加负取样脉冲 D .在输入级加负取样脉冲 12.当二输入与非门输入为 变化时.输出可能有竞争冒险。 A .01→10 B .00→10 C .10→11 D .11→01 13.译码器74HC138的使能端321E E E 取值为 时.处于允许译码状态。 A .011 B .100 C .101 D .010 14.数据分配器和 有着相同的基本电路结构形式。 A .加法器 B .编码器 C .数据选择器 D .译码器 15.在二进制译码器中.若输入有4位代码.则输出有 个信号。 A .2 B .4 C .8 D .16 16.比较两位二进制数A=A 1A 0和B=B 1B 0.当A >B 时输出F =1.则F 表达式是 。

实验二 时序逻辑电路的设计[1]

实验二 时序逻辑电路的设计 一、实验目的: 1、 掌握时序逻辑电路的分析方法。 2、 掌握VHDL 设计常用时序逻辑电路的方法。 3、 掌握时序逻辑电路的测试方法。 4、 掌握层次电路设计方法。 5、 理解时序逻辑电路的特点。 二、实验的硬件要求: 1、 EDA/SOPC 实验箱。 2、 计算机。 三、实验原理 1、时序逻辑电路的定义 数字逻辑电路可分为两类:组合逻辑电路和时序逻辑电路。组合逻辑电路中不包含记忆单元(触发器、锁存器等),主要由逻辑门电路构成,电路在任何时刻的输出只和当前时刻的输入有关,而与以前的输入无关。时序电路则是指包含了记忆单元的逻辑电路,其输出不仅跟当前电路的输入有关,还和输入信号作用前电路的状态有关。 2、同步时序逻辑电路的设计方法 同步时序逻辑电路的设计是分析的逆过程,其任务是根据实际逻辑问题的要求,设计出能实现给定逻辑功能的电路。同步时序电路的设计过程: (1)根据给定的逻辑功能建立原始状态图和原始状态表。 ①明确电路的输入条件和相应的输出要求,分别确定输入变量和输出变量的数目和符号; ②找出所有可能的状态和状态转换之间的关系; ③根据原始状态图建立原始状态表; (2)状态化简---求出最简状态图。 合并等价状态,消去多余状态的过程称为状态化简。 等价状态:在相同的输入下有相同的输出,并转换到同一个次态去的两个状态称为等价状态。 (3)状态编码(状态分配)。 给每个状态赋以二进制代码的过程。 根据状态数确定触发器的个数,n n M 221-≤∠(M 为状态数;n 为触发器的个数)。 (4)选择触发器的类型。 (5)求出电路的激励方程和输出方程。 (6)画出逻辑图并检查自启动能力。 3、时序逻辑电路的特点及设计时的注意事项 ①时序逻辑电路与组合逻辑电路相比,输出会延时一个时钟周期。 ②时序逻辑电路一般容易消除“毛刺”。 ③用VHDL 描述时序逻辑电路时,一般只需将时钟信号和异步控制(如异步复位)信号作为敏感信号。

相关文档
最新文档