眼图测试

眼图常用知识介绍

眼图常用知识介绍 关于眼图及其测量大家已经做了较多的讨论传输指标测试大全其侧重于眼图的定义和测量光眼图分析张轩/22336著 以及色散对长距离传输后的眼图的影响 如下降时间消光比信噪比以及如何从各个方面来衡量一个眼图的优劣 现在我们公司常用的测量眼图的仪器为CSA8000 1眼图与常用指标介绍 下图为一个10G光信号的眼图右边一栏为这个光信号的一些测量值ExdB交叉点比例QF平均光 功率Rise下降时间峰值抖动 RMSJ 消光比定义为眼图中电平比电平的值传输距离又不同的要求G.957的建议 衡量器件是否符合要求除了满足建议要求之外 一般的对于FP/DFB直调激光器要求EML电吸收激光器消光比不小于10dBμ?ê??a2¢2?òa??×???1a±è

可以无限大将导致激光器的啁啾系数太大不利于长距传 输与速率的最低要求消光比大0.5~1.5dB???ùò???3??a?′ò???êy?μê?o|????1a±èì???á? μ????ó??2úéú?òí¨μà′ú??3?±ê??óD2úéú?ó??2¢?òí¨μà′ú???ú×???±êòa?ó?à′ó???éò? óéóú′?ê?1y3ì?Dμ????óê?2àμ???2?μ??à??óú·¢?í2àé?ò?±£?¤?óê?2àμ???2?μ?±èày?ú′ó??50ê1μ??óê?2àμ?áé???è×???ò?°?·¢?í2à??2?μ?±èày?¨òé?????ú4045 Q因子综合反映眼图的质量问题表明眼图的质量越好 光功率一般来说1???????ú2??ó1a?¥??μ??é????越高越好越高越好 如果需要准确地测量光功率 信号的上升时间下降的快慢 的变化的时间下降时间不能大于信号的周期的40如9.95G信号要求其上升 峰可以定性反映信号的抖动大小这两个测量值是越小越好如Agilint 的37718 在测量抖动的时候才能保证测量值相对准确 做为一个比较参考一般在发送侧的测量值都大于30dB

工程师必须懂得眼图分析方法

信号完整性分析基础系列之一 ——关于眼图测量(上) 汪进进美国力科公司深圳代表处 内容提要:本文将从作者习惯的无厘头漫话风格起篇,从四个方面介绍了眼图测量的相关知识:一、串行数据的背景知识; 二、眼图的基本概念; 三、眼图测量方法; 四、力科示波器在眼图测量方面的特点和优势。全分为上、下两篇。上篇包括一、二部分。下篇包括三、四部分。 您知道吗?眼图的历史可以追溯到大约47年前。在力科于2002年发明基于连续比特位的方法来测量眼图之前,1962年-2002的40年间,眼图的测量是基于采样示波器的传统方法。 您相信吗?在长期的培训和技术支持工作中,我们发现很少有工程师能完整地准确地理解眼图的测量原理。很多工程师们往往满足于各种标准权威机构提供的测量向导,Step by Step,满足于用“万能”的Sigtest软件测量出来的眼图给出的Pass or Fail结论。这种对于Sigtest的迷恋甚至使有些工程师忘记了眼图是可以作为一项重要的调试工具的。 在我2004年来力科面试前,我也从来没有听说过眼图。那天面试时,老板反复强调力科在眼图测量方面的优势,但我不知所云。之后我Google“眼图”,看到网络上有限的几篇文章,但仍不知所云。刚刚我再次Google“眼图”,仍然没有找到哪怕一篇文章讲透了眼图测量。 网络上搜到的关于眼图的文字,出现频率最多的如下,表达得似乎非常地专业,但却在拒绝我们的阅读兴趣。 “在实际数字互连系统中,完全消除码间串扰是十分困难的,而码间串扰对误码率的影响目前尚无法找到数学上便于处理的统计规律,还不能进行准确计算。

为了衡量基带传输系统的性能优劣,在实验室中,通常用示波器观察接收信号波形的方法来分析码间串扰和噪声对系统性能的影响,这就是眼图分析法。 如果将输入波形输入示波器的Y轴,并且当示波器的水平扫描周期和码元定时同步时,适当调整相位,使波形的中心对准取样时刻,在示波器上显示的图形很象人的眼睛,因此被称为眼图(Eye Map)。 二进制信号传输时的眼图只有一只“眼睛”,当传输三元码时,会显示两只“眼睛”。眼图是由各段码元波形叠加而成的,眼图中央的垂直线表示最佳抽样时刻,位于两峰值中间的水平线是判决门限电平。 在无码间串扰和噪声的理想情况下,波形无失真,每个码元将重叠在一起,最终在示波器上看到的是迹线又细又清晰的“眼睛”,“眼”开启得最大。当有码间串扰时,波形失真,码元不完全重合,眼图的迹线就会不清晰,引起“眼”部分闭合。若再加上噪声的影响,则使眼图的线条变得模糊,“眼”开启得小了,因此,“眼”张开的大小表示了失真的程度,反映了码间串扰的强弱。由此可知,眼图能直观地表明码间串扰和噪声的影响,可评价一个基带传输系统性能的优劣。另外也可以用此图形对接收滤波器的特性加以调整,以减小码间串扰和改善系统的传输性能。 通常眼图可以用下图所示的图形来描述,由此图可以看出: (1)眼图张开的宽度决定了接收波形可以不受串扰影响而抽样再生的时间间隔。显然,最佳抽样时刻应选在眼睛张开最大的时刻。 (2)眼图斜边的斜率,表示系统对定时抖动(或误差)的灵敏度,斜率越大,系统对定时抖动越敏感。

FPGA眼图

高速FPGA系统的信号完整性测试和分析 张楷 泰克科技(中国)有限公司 摘要:随着FPGA器件的速度和容量日益提高,各种高速的并行和串行接口都广泛应用在FPGA上,其中典型的高速串行总线速率超过1Gb/s,这为设计和应用人员提供了极大的灵活性,同时对于FPGA IO的测试和验证也带来了新的挑战。本文针对FPGA中各种高速串行总线的信号,提供全面的信号完整性测试和分析方法。 关键词:FPGA,高速串行信号, 信号完整性, 抖动,眼图测试,采样示波器 1. 引言 随着FPGA的设计速度和容量的明显增长,当前流行的FPGA芯片都提供高速总线,例如DDR内存总线,PCI-X总线、SPI总线;针对超高速的数据传输,FPGA通过集成SerDes提供高速串行IO,支持各种诸如PCI-E、GBE、XAUI等高速串行总线协议,为各种不同标准的高速传输提供极大的灵活性。典型的高速FPGA器件提供的每一条物理链路的速度从200Mbps到高达10Gbps,高速IO的测试和验证更成为传统专注于FPGA内部逻辑设计的设计人员面临的巨大挑战。这些挑战使设计人员非常容易会把绝大部分设计周期时间放在调试和检验设计上。 为了加速对于FPGA中高速并行和串行总线的调试和验证,它需要使用新的高速信号完整性测试工具和分析方法。本文根据当前FPGA的高速总线测试和分析,提供了最新的方法和工具。 图1是一个典型FPGA的提供的各种高速接口。对于这些速度从200M到高达10G的高速总线,信号完整性的测试和分析是保证设计成功的基础和关键。 图1 典型FPGA的提供的各种高速接口

2. 高速串行总线眼图测试 对于采用内嵌SERDES电路的FPGA芯片,其高速串行信号进行测试和验证,最基本的工具是通过示波器进行对其眼图测试。因为眼图能够非常直观的反映一条被测信号路径上的整体信号质量问题,包括信号的抖动量大小(眼宽)以及幅度的大小(眼高)等重要信息。图2是一个高速数据信号的眼图形成的过程。 图2 眼图的形成过程 从眼图的形成过程可以看出,一个NRZ编码的高速数据无论传输何种码流,都可以看作一个重复信号,经过一定时间和样本数的累计,它反映整个传输链路上的总体信号质量。 3. 选择合适的眼图测试工具 3.1 示波器带宽的要求 示波器是进行高速串行信号眼图测试的首选工具。无论是用高速实时示波器还是采样示波器(Sampling Scope)得到眼图,带宽是对示波器的基本要求。以一个NRZ编码的高速串行总线为例,它理想的波形是一个方波信号,方波信号是由它的基波(正弦波)和奇次谐波(3次,5次,7次…)组成。根据信号的传输速率和上升时间,选择尽量高带宽和最快上升时间的示波器,这样测试结果保留更多的谐波分量,构建高精度的眼图测试结果。 示波器带宽反映了对被测信号幅度上的衰减,而示波器上升时间决定了对被测信号上升时间测试的误差。经典的示波器带宽和上升时间的关系为:带宽×上升时间=0.35-0.45,0.35-0.45为常系数。每一个高性能示波器除了提供带宽的指标外,还会给出上升时间,表征其对阶跃信号的测试能力和精度。示波器测试结果的经验公式为: 高速串行数据(NRZ编码)提供一般为数据率,其最高的基频为比特率的一半。即假设给定一个NRZ编码的串行信号,码型为时钟码型(即传输的数据为1-0-1-0-1),从频域的角度观测该信号,它成为一个基波为数据率一半的方波信号,这个时钟码型是数据变化最快的情况。以泰克TDS6154C 大于15GHz带宽的实时示波器为例,它可以测试保留6.25Gb/s (2×XAUI)信号的五次谐波,以及10Gb/s (XFI)信号的三次谐波。下表列出了不同的高速串行总线在不同测

实验五眼图

实验五眼图 一、实验目的: 1、理解受限信道上的数据传输率; 2、观察眼图,分析不同参数设置对眼图的影响。 二、实验原理 当一个信号通过一个受限的信道时,它的波形将发生变化。如图5-1所示,当数据传输率提高时,波形的失真也增大,甚至使得数据不能传输。 图5-1 受限信道中的波形的前后变化 眼图通常用于实时观察一个数字数据序列,它能够表达出很多有关传输质量的信息,而做这些仅一个常用的示波器和一位时钟序列就可以了。通过观察眼图,可以测量出传输的质量及接收到的数据中发生错误的可能性。其原理图如图5-2所示: 图5-2 眼图产生的原理 一个典型的眼图通常是用来显示传输在一个受限信道上的二进制序列,而这个受限的信道是忽略了噪音的。如图5-3所示: 图5-3眼图

三、实验设备 1、主机TIMS-301F 2、TIMS基本插入模块 (1)TIMS-153序列产生器(Sequence generator) (2)TIMS-148音频振荡器(Audio Oscillator) (3)TIMS-153 可调低通滤波器(Tuneable LPF) 3、计算机 4、PICO虚拟设备 四、实验步骤: 1、将TIMS系统中的音频振荡器(Audio Oscillator)、序列产生器(Sequence generator)、可调低通滤波器(Tuneable LPF)三个模块按图5-4连接。 2、PICO软件的设置:打开PICO软件,设置眼图参数。在“Settings”菜单中选择“Options”选项,如下图所示: 在弹出的窗口菜单中,在“Scope options”里的“Data to display”项选择“Accumulate”。如下图所示:

眼图观测实验 光纤通信_实验5实验报告

课程名称:光纤通信 实验名称:实验5 眼图观测实验 姓名: 班级: 学号: 实验时间: 指导教师: 得分:

一、实验目的 1、了解和掌握眼图的形成过程和意义。 2、掌握光纤通信系统中的眼图观测方法。 二、实验内容 1、观测数字光纤传输系统中的眼图张开和闭合效果。 2、记录眼图波形参数,分析系统传输性能。 三、实验器材 1.主控&信号源模块 2.25号光收发模块 3.示波器 四、实验原理 1、实验原理框图

眼图测试实验系统框图 2、实验框图说明 本实验是以数字信号光纤传输为例,进行光纤通信测量中的眼图观测实验;为方便模拟真实环境中的系统传输衰减等干扰现象,我们加入了可调节的带限信道,用于观测眼图的张开和闭合等现象。如眼图测试实验系统框图所示,系统主要由信号源、光发射机、光接收机以及带限信道组成;信号源提供的数字信号经过光发射机和接收机传输后,再送入用于模拟真实衰减环境的带限信道; 通过示波器测试设备,以数字信号的同步位时钟为触发源,观测TP1测试点的波形,即眼图。 3、眼图基本概念及实验观察方法 所谓眼图,它是一系列数字信号在示波器上累积而显示的图形。眼图包含了丰富的信息,反映的是系统链路上传输的所有数字信号的整体特征。利用眼图可以观察出码间串扰和噪声的影响,分析眼图是衡量数字通信系统传输特性的简单且有效的方法。 ●被测系统的眼图观测方法 通常观测眼图的方法是,如下图所示,以数字序列的同步时钟为触发源,用示波器YT模式测量系统输出端,调节示波器水平扫描周期与接收码元的周期同步,则屏幕中显示的即为眼图。 眼图测试方法框图 ●眼图的形成示意图

一个完整的眼图应该包含从“000”到“111”的所有状态组,且每个状态组发送的此时要尽量一致,否则有些信息将无法呈现在示波器屏幕上。 八种状态如下所示: 八种状态示意图 眼图合成示意图如下所示: 眼图合成示意图 一般在无串扰等影响情况下从示波器上观测到的眼图与理论分析得到的眼图大致接近。 ●眼图参数及系统性能 眼图的垂直张开度表示系统的抗噪声能力,水平张开度反映过门限失真量的大小。眼图的张开度受噪声和码间干扰的影响,当光收端机输出端信噪比很大时眼图的张开度主要受码间干扰的影响,因此观察眼图的张开度就可以估算出光

(精选)眼图观察测量实验

实验12 眼图观察测量实验 一、实验目的 1.学会观察眼图及其分析方法,调整传输滤波器特性。 二、实验仪器 1. 眼图观察电路(底板右下侧) 2. 时钟与基带数据发生模块,位号:G 3. 噪声模块,位号E 4. 100M双踪示波器1台 三、实验原理 在整个通信系统中,通常利用眼图方法估计和改善(通过调整)传输系统性能。 我们知道,在实际的通信系统中,数字信号经过非理想的传输系统必定要产生畸变,也会引入噪声和干扰,也就是说,总是在不同程度上存在码间串扰。在码间串扰和噪声同时存在情况下,系统性能很难进行定量的分析,常常甚至得不到近似结果。为了便于评价实际系统的性能,常用观察眼图进行分析。 眼图可以直观地估价系统的码间干扰和噪声的影响,是一种常用的测试手段。 什么是眼图? 所谓“眼图”,就是由解调后经过接收滤波器输出的基带信号,以码元时钟作为同步信号,基带信号一个或少数码元周期反复扫描在示波器屏幕上显示的波形称为眼图。干扰和失真所产生的传输畸变,可以在眼图上清楚地显示出来。因为对于二进制信号波形,它很像人的眼睛故称眼图。 在图12-1中画出两个无噪声的波形和相应的“眼图”,一个无失真,另一个有失真(码间串扰)。 图12-1中可以看出,眼图是由虚线分段的接收码元波形叠加组成的。眼图中央的垂直线表示取样时刻。当波形没有失真时,眼图是一只“完全张开”的眼睛。在取样时刻,所有可能的取样值仅有两个:+1或-1。当波形有失真时,“眼睛”部分闭合,取样时刻信号取值就分布在小于+1或大于-1附近。这样,保证

正确判决所容许的噪声电平就减小了。换言之,在随机噪声的功率给定时,将使误码率增加。“眼睛”张开的大小就表明失真的严重程度。 为便于说明眼图和系统性能的关系,我们将它简化成图12-2的形状。 由此图可以看出:(1)最佳取样时刻应选择在眼睛张开最大的时刻;(2)眼睛闭合的速率,即眼图斜边的斜率,表示系统对定时误差灵敏的程度,斜边愈陡,对定位误差愈敏感; (3)在取样时刻上,阴影区的垂直宽度表示最大信号失真量; (4)在取样时刻上,上下两阴影区的间隔垂直距离之半是最小噪声容限,噪声瞬时值超过它就有可能发生错误判决;(5) 阴影区与横轴相交的区间表示零点位置变动范围,它对于从信号平均零点位置提取定时信息的解调器有重要影响。实验室理想状态下的眼图如图12-3 所示。 衡量眼图质量的几个重要参数有: 1.眼图开启度(U-2Δ U)/U 指在最佳抽样点处眼图幅度“张开”的程度。无畸变眼图的开启度应为100%。

光纤通信系统测量中的眼图分析方法

实验四 光纤通信系统测量中的眼图分析方法测试实验 一、实验目的 1、了解眼图的形成过程 2、掌握光纤通信系统中眼图的测试方法 二、实验仪器 1、ZYE4301F 型光纤通信原理实验箱1台 2、20MHz 模拟双踪示波器1台 3、万用表1台 三、实验原理 眼图是衡量数字光纤通信系统数据传输特性的简单而又有效的方法。眼图可以在时域中测量,并且可以用示波器直观的显示出来。图1是测量眼图的系统框图。测量时,将“伪随机码发生器”输出的伪随机码加在被测数字光纤通信系统的输入端,该被测系统的输出端接至示波器的垂直输入,用位定时信号(由伪随机码发生器提供)作外同步,在示波器水平输入用数据频率进行触发扫描。这样,在示波器的屏幕上就可以显示出被测系统的眼图。 伪随机脉冲序列是由n 比特长,2n 种不同组合所构成的序列。例如,由n=2比特长的4种不同有 组合、n=3比特长的8种不同的组合、n=4比特长16种不同的组合组成,直到伪随机码发生器所规定的极限值为止,在产生这个极限值以后,数据序列就开始重复,但它用作为测试的数据信号,则具有随机性。如图2所示的眼图,是由3比特长8种组合码叠加而成,示波器上显示的眼图就是这种叠加的结果。 分析眼图图形,可以知道被测系统的性能,下面用图3所示的形状规则的眼图进行分析: 1、当眼开度 V V V ?-为最大时刻,则是对接收到的信号进行判决的最佳时刻,无码间干扰、信号无畸变时的眼开度为100%。 2、由于码间干扰,信号畸变使眼开度减小,眼皮厚度V V ?增加,无畸变眼图的眼皮厚度应该等于零。 图1眼图的测试系统

3、系统无畸变眼图交叉点发散角b T T ?应该等于零。 4、系统信道的任何非线性都将使眼图出现不对称,无畸变眼图的正、负极性不对称度- +-++-V V V V 应该等 于零。 5、系统的定时抖动(也称为边缘抖动或相位失真)是由光收端机的噪声和光纤中的脉冲失真产生的,如果在“可对信号进行判决的时间间隔T b ”的正中对信号进行判决,那么在阈值电平处的失真量ΔT 就表示抖动的大小。因此,系统的定时抖动用下式计算: 定时抖动= %100??Tb T

光纤通信系统的眼图测试实验

太原理工大学现代科技学院 光纤通信课程实验报告 专业班级 学号 姓名 指导教师

实验名称 光纤通信系统的眼图测试实验 同组人 专业班级 学号 姓名 成绩 实验三 光纤通信系统的眼图测试实验 一、实验目的 1、了解眼图的形成过程 2、掌握光纤通信系统中眼图的测试方法 二、实验内容 1、测量数字光纤通信系统传输各种数字信号的眼图 2、观察系统眼图,并通过眼图来分析系统的性能 三、实验仪器 1、ZY12OFCom13BG3型光纤通信原理实验箱 1台 2、20MHz 双踪模拟示波器 1台 3、万用表 1台 4、FC/PC-FC/PC 单模光跳线 1根 5、850nm 光发端机和光收端机(可选) 1套 6、ST/PC-ST/PC 多模光跳线(可选) 1根 四、实验原理 眼图是衡量数字光纤通信系统数据传输特性的简单而又有效的方法。眼图可以在时域中测 量,并且可以用示波器直观的显示出来。图20-1是测量眼图的系统框图。测量时,将“伪随机码发生器”输出的伪随机码加在被测数字光纤通信系统的输入端,该被测系统的输出端接至示波器的垂直输入,用位定时信号(由伪随机码发生器提供)作外同步,在示波器水平输入用 数据频率进行触发扫描。这样,在示波器的屏幕上就可以显示出被测系统的眼图。 图1、眼图测试系统框图 ……………………………………装………………………………………订…………………………………………线………………………………………

伪随机脉冲序列是由n 比特长,2n 种不同组合所构成的序列。例如,由n=2比特长的4种 不同有组合、n=3比特长的8种不同的组合、n=4比特长16种不同的组合组成,直到伪随机码发生器所规定的极限值为止,在产生这个极限值以后,数据序列就开始重复,但它用作为测试的数据信号,则具有随机性。如图20-2所示的眼图,是由3比特长8种组合码叠加而成,示 波器上显示的眼图就是这种叠加的结果。 分析眼图图形,可以知道被测系统的性能,下面用图20-3所示的形状规则的眼图进行分析: 1、当眼开度V V V ?-为最大时刻,则是对接收到的信号进行判决的最佳时刻,无码间干扰、 信号无畸变时的眼开度为100%。 2、由于码间干扰,信号畸变使眼开度减小,眼皮厚度V 增加,无畸变眼图的眼皮厚度应该等于零。 3、系统无畸变眼图交叉点发散角 b T T ?应该等于零。 4、系统信道的任何非线性都将使眼图出现不对称,无畸变眼图的正、负极性不对称度 5、系统的定时抖动(也称为边缘抖动或相位失真)是由光收端机的噪声和光纤中的脉冲 失真产生的,如果在“可对信号进行判决的时间间隔T b ”的正中对信号进行判决,那么在阈值电平处的失真量ΔT 就表示抖动的大小。因此,系统的定时抖动用下式计算:定时抖动= …………………………………装……………………………………订………………………………………线……………………………………………

谈谈华为SFP+万兆光模块

华为(Huawei)10G SFP+光模块是新一代的万兆光模块,它按照ANSI T11协议,可以满足光纤通道的8.5G和以太网10G的应用。华为(Huawei)10G SFP+光模块比早期的XFP光模块外观尺寸缩小了约30%。 一、华为SFP+万兆光模块型号 华为万兆光模块主要有以下三个型号,光模块型号:华为(Huawei)OMXD30000,华为(Huawei) OSX010000,华为(Huawei)OSX040N01 等等。 谈谈华为SFP+万兆光模块

二、兼容华为SFP+万兆光模块 飞速光纤(https://www.360docs.net/doc/835852660.html,)提供华为(Huawei)兼容OSX040N01SFP+万兆光模块,华为(Huawei)

兼容LE0M0XS4FF万兆光模块,华为(Huawei)兼容OSX010000SFP+,华为(Huawei)兼容LE0M0XSM88SFP+万兆光模块万兆光模块等等。

三.华为SFP+万兆光模块测试步骤 上文介绍了几款华为光模块的型号,现在来介绍一下华为光模块的测试步骤: 1、抖动测量和眼图测量来测试发射器输入信号的质量。 2、用眼图测试、光调制振幅和消光比等光学指标来测量发射器的输出光信号。 3、通过抖动测量和光功率测试来校准接收器输出的最差信号。 4、最后测试接收器的电子输出信号,包括眼图测试、抖动测试以及抖动跟踪和容限3种。

飞速光纤(https://www.360docs.net/doc/835852660.html,)提供各种兼容光模块,是专业的光通信产品供应商。相比之下,飞速的华为(Huawei)兼容10G SFP+光模块价格具有很大优势,且它们具有高密度、低功耗、低成本等显著优点,产品广泛应用万兆以太网光纤数据通信领域,是万兆光模块的主流产品。

眼图分析

清风醉明月 slp_art 随笔- 42 文章- 1 评论- 20 博客园首页新随笔联系管理订阅 眼图——概念与测量(摘记) 中文名称: 眼图 英文名称: eye diagram;eye pattern 定义: 示波器屏幕上所显示的数字通信符号,由许多波形部分重叠形成,其形状类似“眼”的图形。“眼”大表示系统传输特性好;“眼”小表示系统中存在符号间干扰。 一.概述 “在实际数字互连系统中,完全消除码间串扰是十分困难的,而码间串扰对误码率的影响目前尚无法找到数学上便于处理的统计规律,还不能进行准确计算。为了衡量基带传输系统的性能优劣,在实验室中,通常用示波器观察接收信号波形的方法来分析码间串扰和噪声对系统性能的影响,这就是眼图分析法。 在无码间串扰和噪声的理想情况下,波形无失真,每个码元将重叠在一起,最终在示波器上看到的是迹线又细又清晰的“眼睛”,“眼”开启得最大。当有码间串扰时,波形失真,码元不完全重合,眼图的迹线就会不清晰,引起“眼”部分闭合。若再加上噪声的影响,则使眼图的线条变得模糊,“眼”开启得小了,因此,“眼”张开的大小表示了失真的程度,反映了码间串扰的强弱。由此可知,眼图能直观地表明码间串扰和噪声的影响,可评价一个基带传输系统性能的优劣。另外也可以用此图形对接收滤波器的特性加以调整,以减小码间串扰和改善系统的传输性能。通常眼图可以用下图所示的图形来描述,由此图可以看出:

(1)眼图张开的宽度决定了接收波形可以不受串扰影响而抽样再生的时间间隔。显然,最佳抽样时刻应选在眼睛张开最大的时刻。 (2)眼图斜边的斜率,表示系统对定时抖动(或误差)的灵敏度,斜率越大,系统对定时抖动越敏感。 (3)眼图左(右)角阴影部分的水平宽度表示信号零点的变化范围,称为零点失真量,在许多接收设备中,定时信息是由信号零点位置来提取的,对于这种设备零点失真量很重要。 (4)在抽样时刻,阴影区的垂直宽度表示最大信号失真量。 (5)在抽样时刻上、下两阴影区间隔的一半是最小噪声容限,噪声瞬时值超过它就有可能发生错误判决。 (6)横轴对应判决门限电平。” 二、眼图的一些基本概念 —“什么是眼图?” “眼图就是象眼睛一样形状的图形。 图五眼图定义” 眼图是用余辉方式累积叠加显示采集到的串行信号的比特位的结果,叠加后的图形形状看起来和眼睛很像,故名眼图。眼图上通常显示的是1.25UI的时间窗口。眼睛的形状各种各样,眼图的形状也各种各样。通过眼图的形状特点可以快速地判断信号的质量。 图六的眼图有“双眼皮”,可判断出信号可能有串扰或预(去)加重。 图六“双眼皮”眼图

实验6.数字基带信号的眼图实验

实验六 数字基带信号的眼图实验 一、实验目的 1、掌握无码间干扰传输的基本条件和原理,掌握基带升余弦滚降系统的实现方法; 2、通过观察眼图来分析码间干扰对系统性能的影响,并观察在输入相同码率的NRZ 基带信号下,不同滤波器带宽对输出信号码间干扰大小的影响程度; 3、熟悉MATLAB 语言编程。 二、实验原理和电路说明 1、基带传输特性 基带系统的分析模型如图3-1所示,要获得良好的基带传输系统,就应该 图3-1 基带系统的分析模型 抑制码间干扰。设输入的基带信号为()n s n a t nT δ-∑,s T 为基带信号的码元周期,则经过 基带传输系统后的输出码元为 ()n s n a h t nT -∑。其中 1()()2j t h t H e d ωωωπ +∞ -∞ = ? (3-1) 理论上要达到无码间干扰,依照奈奎斯特第一准则,基带传输系统在时域应满足: 10()0,s k h kT k =?=? ? , 为其他整数 (3-2) 频域应满足: ()0,s s T T H πωωω? ≤ ?=? ?? ,其他 (3-3)

图3-2 理想基带传输特性 此时频带利用率为2/Baud Hz ,这是在抽样值无失真条件下,所能达到的最高频率利用率。 由于理想的低通滤波器不容易实现,而且时域波形的拖尾衰减太慢,因此在得不到严格 定时时,码间干扰就可能较大。在一般情况下,只要满足: 222(),s i s s s s i H H H H T T T T T ππ π π ωωωωω?????? +=-+++=≤ ? ? ??????? ∑ (3-4) 基带信号就可实现无码间干扰传输。这种滤波器克服了拖尾太慢的问题。 从实际的滤波器的实现来考虑,采用具有升余弦频谱特性()H ω时是适宜的。 (1)(1)1sin (),2(1)()1,0(1) 0,s s s s s s T T T T H T T ππαπαωωαπαωωπαω???-+--≤≤??? ??? ?-? =≤≤?? ?+>? ?? (3-5) 这里α称为滚降系数,01α≤≤。 所对应的其冲激响应为: ()222sin cos()()14s s s s t T t T h t t t T T παππα= - (3-6) 此时频带利用率降为2/(1)Baud/Hz α+,这同样是在抽样值无失真条件下,所能达到的最 高频率利用率。换言之,若输入码元速率' 1/s s R T >,则该基带传输系统输出码元会产生码

眼图测量方法B

三、眼图测量方法 之前谈到,眼图测量方法有两种:2002年以前的传统眼图测量方法和2002年之后力科发明的现代眼图测量方法。传统眼图测量方法可以用两个英文关键词来表示:“Triggered Eye”和“Single‐Bit Eye”。现代眼图测量方法用另外两个英文关键词来表示:“Continuous‐Bit Eye”和“Single‐Shot Eye”。传统眼图测量方法用中文来理解是八个字:“同步触发+叠加显示”,现代眼图测量方法用中文来理解也是八个字:“同步切割+叠加显示”。两种方法的差别就四个字:传统的是用触发的方法,现代的是用切割的方法。“同步”是准确测量眼图的关键,传统方法和现代方法同步的方法是不一样的。“叠加显示”就是用模拟余辉的方法不断累积显示。 传统的眼图方法就是同步触发一次,然后叠加一次。每触发一次,眼图上增加了一个UI,每个UI的数据是相对于触发点排列的,因此是“Single‐Bit Eye”,每触发一次眼图上只增加了一个比特位。图一形象表示了这种方法形成眼图的过程。 图一传统眼图测量方法的原理 传统方法的第一个缺点就是效率太低。对于现在的高速信号如PCI‐Express Gen2,PCI‐SIG 要求测量1百万个UI的眼图,用传统方法就需要触发1百万次,这可能需要几个小时才能测量完。第二个缺点是,由于每次触发只能叠加一个UI,形成1百万个UI的眼图就需要触发1百万次,这样不断触发的过程中必然将示波器本身的触发抖动也引入到了眼图上。对于2.5GBbps以上的高速信号,这种触发抖动是不可忽略的。 如何同步触发,也就是说如何使每个UI的数据相对于触发点排列?也有两种方法,一种方法是在被测电路板上找到和串行数据同步的时钟,将此时钟引到示波器作为触发源,时钟的边沿作为触发的条件。另外一种方法是将被测的串行信号同时输入到示波器的输入通道和硬件时钟恢复电路(CDR)通道,硬件CDR恢复出串行数据里内嵌的时钟作为触发源。这种同

数字光纤通信系统信号眼图测试

实验二数字光纤通信系统信号眼图测试 一.实验目的 1.了解眼图产生的基础,根据眼图测量数字通信系统性能的原理; 2.学习通过数字示波器调试、观测眼图; 3.掌握判别眼图质量的指标; 4.熟练使用数字示波器和误码仪。 二.实验原理 眼图是估计数字传输系统性能的一种十分有效的实验方法。这种方法已广泛应用于数字通信系统,在光纤数字通信中也是评价系统性能的重要实验方法。眼图是在时域进行的用示波器显示二进制数字信号波形的失真效应的测量方法。图2.1是测量眼图的装置图。由AV5233C误码仪产生一定长度的伪随机二进制数据流(AMI码、HDB3码、RZ 码、NRZ码)调制单模光产生相应的伪随机数据光脉冲并通过光纤活动连接器注入单模光纤,经过光纤传输后,再与光接收机相接。光接收机将从光纤传输的光脉冲变为电脉冲,并输入到AV4451(500MHz)示波器,示波器显示的扫描图形与人眼相似,因此称为眼图。 用眼图法测量系统时应有多种字型,可以采用各比特位上0和1出现的概率相等的随机数字信号进行测试。AV5233C误码仪用来产生伪随机数字序列信号。在这里“伪随机”的意义是伪随机码型发生器产生N比特长度的随机二进制数字信号是数字序列在N 比特后发生重复,并不是测试时间内整个数字序列都是随机的,因此称为“伪随机”。伪随机序列如果由2比特位组成,则共有四种组合,3比特数字信号有8种组合,N比特数字信号有2N个组合。伪随机数字信号的长度为2N-1,这种选择可保证字型不与数据率相关。例如N可取7、10、15、23、31等。如果只考虑3比特非归零码,应有如图2.2所示的8种组合。将这8种组合同时叠加,就可形成如图2.3所示的眼图。 图2.1 眼图测量装置

眼图观测实验

实验目的 1、掌握眼图观测的方法。 2、掌握相关眼图的测量方法。实验目的 1、观测眼图。 2、测量沿途的判决电平、噪声容限。 实验模块 1、通信原理0 号模块一块 2、通信原理11 号模块一块 3、示波器 一台实验原理 在实际系统中,完全消除码间串扰是十分困难的,而码间串扰对误码率的影响目前尚无法找到数学上便于处理的统计规律,还不能进行准确计算。为了衡量基带传输系统的性能优劣,在实验室中,通常用示波器观察接收信号波形的方法来分析码间串扰和噪声对系统性能的影响,这就是眼图分析法。 如果将输入波形输入示波器的Y轴,并且当示波器的水平扫描周期和码元定时同步时,在示波器上显示的图形很象人的眼睛,因此被称为眼图。二进制信号传输时的眼图只有一只“眼睛”,当传输三元码时,会显示两只“眼睛”。眼图是由各段码元波形叠加而成的,眼图中央的垂直线表示最佳抽样时刻,位于两峰值中间的水平线是判决门限电平。.

在无码间串扰和噪声的理想情况下,波形无失真,“眼”开启得最大。当有码间串扰时,波形失真,引起“眼”部分闭合。若再加上噪声的影响,则使眼图的线条变得模糊,“眼”开启得小了,因此,“眼”张开的大小表示了失真的程度。由此可知,眼图能直观地表明码间串扰和噪声的影响,可评价一个基带传输系统性能的优劣。另外也可以用此图形对接收滤波器的特性加以调整,以减小码间串扰和改善系统的传输性能。通常眼图可以用图7.6所示的图形来描述。由此图可以看出: 1)眼图张开的宽度决定了接收波形可以不受串扰影响而抽样再生的时间间隔。显然,最佳抽样时刻应选在眼睛张开最大的时刻。 2)眼图斜边的斜率,表示系统对定时抖动(或误差)的灵敏度,斜边越陡,系统对定时抖动越敏感。 3)眼图左(右)角阴影部分的水平宽度表示信号零点的变化范围,称为零点失真量,在许多接收设备中,定时信息是由信号零点位置来提取的,对于这种设备零点失真量很重要。 )在抽样时刻,阴影区的垂直宽度表示最大信号失真量。4. 5)在抽样时刻上、下两阴影区间隔的一半是最小噪声容限,噪声瞬时值超过它就有可能发生错误判决; 6)横轴对应判决门限电平。实验步骤 I、观测眼图:1、按如下方式连线:

光模块测试指标

1.1.1GEPON接口测试 1.1.1.1GEPON接口测试—平均发射光功率 ONU 1.1.1.2GEPON接口测试—中心波长

1.1.1.3GEPON接口测试—发射机眼图 1.1.1.4GEPON接口测试—消光比

ONU 1.1.1.5GEPON接口测试—最小边模抑制比

测试连接图Optical Splitter Voltage Regulator OLT ONU 测试步骤1.按照上图连接测试环境; 2.设置示波器; 3.读取最小边模抑制比数值,并记录。 预期结果1000BASE-PX20-D边模抑制比>=30dB;1000BASE-PX20+-D边模抑制比>=30dB。 测试结论通过[ ];未通过[ ] ;未测[ ]结果说明 备注 测试人签名 1.1.1.6GEPON接口测试—接收灵敏度 用例编号DYTC-7 用例名称接收机灵敏度 测试目的1G OLT PON接口接收机灵敏度 测试设备 测试环境 测试步骤1.按照上图连接测试环境; 2.调整可调光衰减器增大衰减,使光模块工作正常,并用SMB6000验证无丢包;测量接收机在接收机处达到1×10-12的BER值所需要的平均接收功率的最小值; 或者ONU快要掉注册时,记录下此时的OLT的接收光功率即可; 3.读取光功率数值,并记录; 4.测试取10块光模块进行测试,并记录。 预期结果1000BASE-PX20-D接收灵敏度<= -24dBm;1000BASE-PX20+-D接收灵敏度<=-30dBm。

ONU 1.1.1.7GEPON接口测试—接收机过载光功率

测试环境 测试步骤 1. 按照上图连接测试环境; 2. 调整可调光放大器(减少衰减),使光模块工作正常,并用数据测试仪验证无丢包;测量接收机在接收机处达到1×10-12的BER 值所需要的平均 接收功率的最小值; 或者ONU 快要掉注册时,记录下此时的OLT 的接收光功率即可; 3. 读取光功率数值,并记录; 4. 测试取10块光模块进行测试,并记录。 预期结果 1000BASE-PX20-D 接收机过载光功率≥-6dBm ; 1000BASE-PX20+-D 接收机过载光功率≥-6dBm 。 测试结果 测试结论 通过[ ] 未通过[ ] 未测[ ] 版本备注 测试人员 测试日期 相关知识 1.1.1.8 GEPON 接口测试—最大-20dB 谱宽 被测设备(型号) 1600H 测试项目 1G PON 接口测试—最大-20dB 谱宽 测试目的 测量TX 的最大峰值功率跌落20dB 时的光谱全宽。 测试仪表 1. 采样示波器 泰克8000/安捷伦86100; 2. 可调光衰减器; 测试连接图 Optical Splitter Voltage Regulator OLT ONU

实验2眼图观察测量实验

班级通信1403 学号 2 姓名裴振启指导教师邵军花日期 实验2 眼图观察测量实验 一、实验目的 学会观察眼图及其分析方法,调整传输滤波器特性。 二、实验仪器 1. 眼图观察电路 2.时钟与基带数据发生模块,位号:G 3.PSK调制模块,位号A 4.噪声模块,位号B 5.PSK解调模块,位号C 6.复接/解复接、同步技术模块,位号:I 7.20M双踪示波器1台 三、实验原理 在整个通信系统中,通常利用眼图方法估计和改善(通过调整)传输系统性能。 所谓“眼图”,就是由解调后经过接收滤波器输出的基带信号,以码元时钟作为同步信号,基带信号一个或少数码元周期反复扫描在示波器屏幕上显示的波形称为眼图。干扰和失真所产生的传输畸变,可以在眼图上清楚地显示出来。因为对于二进制信号波形,它很像人的眼睛故称眼图。 在图2-1中画出两个无噪声的波形和相应的“眼图”,一个无失真,另一个有失真(码间串扰)。 图2-1中可以看出,眼图是由虚线分段的接收码元波形叠加组成的。眼图中央的垂直线表示取样时刻。当波形没有失真时,眼图是一只“完全张开”的眼睛。在取样时刻,所有可能的取样值仅有两个:+1 或-1。当波形有失真时,“眼睛”部分闭合,取样时刻信号取值就分布在小于+1或大于-1附近。这样,保证正确判决所容许的噪声电平就减小了。换言之,在随机噪声的功率给定时,将使误码率增加。“眼睛”张开的大小就表明失真的严重程度。 眼图 图2-1 无失真及有失真时的波形及眼图 (a)无码间串扰时波形;无码间串扰眼图 (b)有码间串扰时波形;有码间串扰眼图

通信工程实验教学中心通信系统原理实验报告 在图2-2中给出从示波器上观察到的比较理想状态下的眼图照片。本实验主要是完成PSK 解调输出基带信号的眼图观测实验。 (a) 二进制系统 (b) 随机数据 输入后的二进制系统图2-2 实验室理想状态下的眼图 四、各测量点和可调元件作用底板右边“眼图观察电路” W06:接收滤波器特性调整电位器。 P16:眼图观察信号输入点。 P17:接收滤波器输出升余弦波形测试点(眼图观察测量点)。 五、实验步骤 1.插入有关实验模块: 在关闭系统电源的条件下,将“时钟与基带数据发生模块”、“ PSK调制模块” 、“噪声模块”、“PSK解调模块”,插到底板“G、A、B、C”号的位置插座上(具体位置可见底板右下角的“实验模块位置分布表”)。注意模块插头与底板插座的防呆口一致,模块位号与底板位号的一致。 2.BPSK信号线连接: 用专用导线将4P01、37P01;37P02、3P01;3P02、38P01;38P02、P16连接(底板右 边“眼图观察电路”)。 注意连接铆孔的箭头指向,将输出铆孔连接输入铆孔。 3.加电: 打开系统电源开关,底板的电源指示灯正常显示。若电源指示灯显示不正常,请立即关 闭电源,查找异常原因。 4.跳线开关设置: “PSK调制模块”跳线开关37K02的1-2、3-4相连。“时钟与基带数据发生模块”的拨码器4SW02:设置为“00001“,4P01产生32Kb/s的 15位m序列输出。 5.无噪声眼图波形观察: (1)噪声模块调节:调节3W01,将3TP01噪声电平调为0; (2)调节3W02,调整3P02信号幅度为4V。 (3)调整好PSK调制解调电路状态,即37P01与38P02波形一致(可以反相),若不一致,可调整38W01电位器。 (4)调整接收滤波器H r(w) (这里可视为整个信道传输滤波器H(w) )的特性,使之构成一个等效的理想低通滤波器。

基带信号眼图实验

实验三 数字基带信号的眼图实验 一、实验目的 1、掌握无码间干扰传输的基本条件和原理,掌握基带升余弦滚降系统的实现方法; 2、通过观察眼图来分析码间干扰对系统性能的影响,并观察在输入相同码率的NRZ 基带信号下,不同滤波器带宽对输出信号码间干扰大小的影响程度; 3、熟悉MATLAB 语言编程。 二、实验预习要求 1、复习《数字通信原理》第七章7.1节——奈奎斯特第一准则内容; 2、复习《数字通信原理》第七章7.2节——数字基带信号码型内容; 3、认真阅读本实验内容,熟悉实验步骤。 三、实验原理和电路说明 1、基带传输特性 基带系统的分析模型如图3-1所示,要获得良好的基带传输系统,就应该 图3-1 基带系统的分析模型 抑制码间干扰。设输入的基带信号为()n s n a t nT δ-∑,s T 为基带信号的码元周期,则经过 基带传输系统后的输出码元为 ()n s n a h t nT -∑。其中 1()()2j t h t H e d ωωωπ +∞-∞ = ? (3-1) 理论上要达到无码间干扰,依照奈奎斯特第一准则,基带传输系统在时域应满足: 10()0,s k h kT k =?=? ? , 为其他整数 (3-2) 频域应满足:

()0,s s T T H πωωω? ≤?=? ?? ,其他 (3-3) 图3-2 理想基带传输特性 此时频带利用率为2/Baud Hz ,这是在抽样值无失真条件下,所能达到的最高频率利用率。 由于理想的低通滤波器不容易实现,而且时域波形的拖尾衰减太慢,因此在得不到严格 定时时,码间干扰就可能较大。在一般情况下,只要满足: 222(),s i s s s s i H H H H T T T T T ππ π π ωωωωω?????? +=-+++=≤ ? ? ??????? ∑ (3-4) 基带信号就可实现无码间干扰传输。这种滤波器克服了拖尾太慢的问题。 从实际的滤波器的实现来考虑,采用具有升余弦频谱特性()H ω时是适宜的。 (1)(1)1sin (),2(1)()1,0(1) 0,s s s s s s T T T T H T T ππαπαωωαπαωωπαω???-+--≤≤??? ??? ?-? =≤≤ ?? ?+>? ?? (3-5) 这里α称为滚降系数,01α≤≤。 所对应的其冲激响应为: ()222sin cos() ()14s s s s t T t T h t t t T T παππα= - (3-6)

现代眼图测量方法和data pattern

***Eyediagram ****传统眼图生成 硬件CDR恢复出理想时钟,时钟上升沿作为触发源,触发一次,叠加一个UI。 ****现代眼图生成 同步切割,叠加显示:示波器捕获一连串数据,用软件PLL恢复出时钟,用恢复出来的时钟按照比特位进行切割,切割一次叠加一次。

****CJPAT 在8B/10B编码之前,CJPA T数据包构成如下: Preamble/SFD: 55 55 55 55 55 55 55 D5 Modified JPAT sequence: 7E for 580 bytes: Low density transition pattern B5 for 172 bytes: high density transition pattern 7E for 580 bytes: Low density transition pattern B5 for 172 bytes: high density transition pattern CRC F3 CF F9 0F IPG 00 00 00 00 00 00 00 00 00 00 00 00 END 共1528byte,经过8B10B编码成为15280bit At 6.144 Gbps, the UI is about 162.76 ps CJPAT duration/pattern: 162.76 ps * 15280 = 2.487 us/pattern ****PRBS Pseudo Random Binary Sequence:伪随机二进制序列。0和1在周期内部是随机出现的(即码流生成函数和初始码确定后,码流的顺序是固定的),但各个周期中的码流却是完全相同的。 The sequence is not truly random in that it is completely determined by a relatively small set of initial values, called the PRNG's state, which includes a truly random seed.(这说明每个周期的初始码不是固定的)在高速信号链路进行无码测试时,基本上都是用PRBS码模拟真实的码流环境。因为PRBS的频谱特征与白噪声非常接近。

相关文档
最新文档