电子电工实训报告册

电子电工实训报告册
电子电工实训报告册

电工电子焊接实习部分

第一部分电子技术安全知识及元器件基础

一、填空:

1.通常所说的触电就是_________;通常情况下的安全电压是________V 以下。

2.所谓电击强度指的是____________和____________的乘积。

3.电阻器在电路中多用来进行________、_________、________、_______等。

4.电阻按材料可分为_________、_________、_________等类型;按用途可分为_________、_________、_________等类型。

5.电位器实际上是一种_________器件,按阻值变化规律可以分为_________、_________、_________等类型。

6.电容器的结构是由两层__________,中间是___________。在半导体收音机中最常见的有__________、__________、__________、__________等类型。

7.电容C=________,常用单位是_______,1F=________μF=_________pF。

8.电子元器件规格标注方法有__________、__________、__________。

9.二极管的特性是__________。二极管按照所用半导体材料可分为____________和____________类型。

10.如果把二极管接到交流电源上,就能把交流电转变为_________,这个过程叫_________;如果加的交流电压是_________,这个过程就叫_________。二极管在收音机中主要用途就是_________和_________。

11.三极管是由_________组成的。常见的三极管按材料可分为_________和_________;按频率可分为_________、__________和_________等;按类

型可分为________和_________型。

12.超外差式收音机使用的高频变压器有__________、_________;中频变压器有_________、_________;低频变压器有_________、_________。

13.印制电路板按其导电图形的层数可分为_________、________和_________。

14.常见的万用表一般分为_________档、_________档、_________档、_________档。测量完毕,应将量程选择开关拨到_________档,保证仪器安全。

二、识图:

1.下图表示电阻,其阻值是多少?(写出计算过程)

绿色

蓝色 黑色 黄色 金色

2.下图表示电容,其容量是多少?(写出计算过程)

3.在下图(?)内填入该三极管的各极、各结的名称,画出该三极管的电路符号。

? ? ( ) (??)

? P ? N ?? P

(??) ?? ??? ? ?(? )

? ?? ( )

三、简答:

1.如何用万用表判别三极管的极性?

2.简述扬声器工作原理.

?第二部分超外差式收音机工作原理

一、填空:

1.晶体管收音机一般分为____________和____________两大类。

2.信号调制的方式有__________、__________、__________。

3.超外差式调幅收音机由__________、__________、__________、__________、__________组成.

4.收音机接收微弱电台信号的能力,称为__________;收音机选择电台的能力,称为____________。

5.从谐振电路的工作特点可知,串联谐振电路允许_________讯号通过电路的,而大大削弱_________的讯号,从而达到__________的目的。

6.变频级这个“加工厂”主要有三个任务:一是__________,二是_________,三是__________。

7.所谓本机振荡器,就是一种能够__________的装置。

8.超外差式收音机中频放大级有以下两个主要作用_________、_________。

二、选择:

1.超外差式收音机中波段的频率范围一般为()

A.2.2~12 MHzB。3.5~6.6 MHzC。535~1605 KHz ?D。465~1605 KHz

2.超外差式收音机接收640KHz的广播时,经过中放级输出的频率为()

A.535KHz ?B。465 KHz C。640 KHz D。1605 KHz

3.当收音机接收强信号时产生非线性失真,是由于()性能不稳定而引起的。

A.变频级B。中频放大级?C。检波级?D。低频放大级

4.检波器的作用()

A.检波?B。选频C。放大?D。阻抗匹配

三、简答:

1.试说明超外差式收音机变频级的工作过程及各元件在电路中的作用。

2.简述超外差式收音机的工作原理。

3.试说明乙类推挽式放大电路的工作原理。

第三部分收音机的焊接、调试

一、填空:

1.手工焊接主要工具是_________,使用其焊接时应注意_________。

2.手工焊接的基本步骤_________、_________、_________、_________、_________。

3.低频调试时,电流应在__________范围内,低频信号应注入_________、_________的焊接点进行检测。

4.对收音机进行调试时,通常使用的仪器有____________、____________、___________和____________等。

5.超外差式收音机统调时使用到的信号的频率有____________、____________、____________。

6.整机调整主要有四项____________;____________;____________;____________。

二、识图:

说明下图仪器名称并在()内标明各旋扭名称。如果输出信号频率为4MHz应如何调试。

?????

(?)

??(???)??(?

三、简答:

1、试述收音机的低频调试过程。

2、试述收音机统调的过程。

电工电子设计实习部分

第一部分EDA技术基础知识

一、填空题:

1.EDA的中文含义是____________________

2.CPLD的中文含义是____________________

3.ISP的中文含义是____________________

4.VHDL的中文含义是__________

5.FPGA的中文含义是____________

6.ASIC的中文含义是____________

7.JTAG的中文含义是______

二、选择题:

1.以下不属于CPLD和FPGA区别的是( )

A.内部逻辑单元的电路工艺不同B.内部连线的连接方式不同C.编程修改方式不同D.采用的存储方式不同

2.EDA技术的主要目标是()

A.利用计算机完成电子系统的设计B.使用自动化设备完成电路设计 C.高效设计电子线路D.使电子线路设计完全脱离人为干预

3.以下不属于ALTERA公司推出的EDA软件的是(?)

A.Max+Plus2 B.Quartus 2 C.Nios 2D.ISE

4.以下不属于VHDL优点的是( )

A.降低设计时的复杂性B.缩短产品设计周期C.设计使用的目标器件有较大弹性D.使产品成本降低

5.以下不属于EDA发展阶段的是(?)

A.CAD阶段

B.CAE阶段C.EDA阶段D.CAM阶段

三、简答题:

1.试说明ISP技术的优点。

第二部分CPLD/FPGA系统硬件知识

一、填空:

1.实习过程中所使用的FPGA芯片是________公司生产的______系列____________型号的芯片

2.EPF10K10LC84-4型FPGA采用______形式的存储结构

3.在实验箱中,FPGA芯片的第一引线对应位置在________位置

4.在向FPGA芯片下载数据时,芯片选择开关应置于________位置

5.实验箱显示模块包括______、______、______、_____、______五个部分

6.数码管的输入端包括______、______两组

7.片(位)选是指____________________

8.实验箱按键/开关模块包括______、______、______部件

9.拨码开关提供________信号;按键开关提供________信号

10.实验用下载电缆一端连接在计算机的______接口,另一端连接实验箱的DIGI TALJTAG接口

11.实验箱可调数字时钟源包括______组输出端口

12.可调数字时钟源共包括11组跳线,其中JP1、JP2、_____、_____、______、______用来调整CLK5的输出频率

13.当实验箱电源指示灯在不停闪烁,则说明存在__________现象

二、选择题:

1.以下不属于显示模块的是(?)

A.LED B.数码管C.LCD D.PAC

2.选中左数第3片八段数码管时,向片(位)选端输入的二进制编码是(?)

A.“100”

B.“011”

C.“010”D.“001”

3.在八段数码管上显示字符“L”,需要向字段端输入的二进制编码是( )

A.“”

B.“”C.“”D.“”

4.八段数码管的片(位)选端位于实验箱的( )位置

A.左下角B.右下角C.米字数码管与八段数码管之间D.16×16LED点阵左侧

5.拨码开关连接到LED后,当LED受拨码开关控制时,能说明(?)是正常工作的

A.LEDB.拨码开关C.导线D.以上三者均是

6.实验用时钟源频率范围是()

A.2Hz~1MHz B.1.2H z~20MHz C.2MHz~20MHzD.2H z~2MHz

7.数字电子技术中,1KB是指( )字节

A.1000 B.1024 C.1096 D.912

三、简答题:

1.简述实习实验箱的主要组成

2.简述数码管显示的工作过程。

3.简述LED和数码管的检测方法。

第三部分MaxplusⅡ软件操作知识

一、填空:

1.Max+Plus2软件的图形编辑器支持______、______两种格式

2.Max+Plus2设计软件支持________、_______、_______和________等四种形式的编辑操作

3.设计文件的名称至少使用_______开头,而不能只使用数字作为文件名称4.三输入与非门的文字(英文)符号是__________

5.图形“”代表____________________操作

6.Max+Plus2软件的文本编辑器支持_______、______等硬件语言设计

7.元件“”含义是__________

8.图形编辑的流程为:建立新文件;保存并给新文件命名;设置______与文件名称一致;调入所需元件,包括两个必有的___、___引线并命名;连接电路使其符合工作原理要求;保存设计文件;侦错并修改;选择_________;存盘并编译;创建电路符号;________并编译;调出下载对话框并________;选择下载端口;_________;完成下载。

9.在使用VHDL语言进行编辑时,可以在语句后使用____符号使其后面的内容变成注释性文字

二、选择题:

1.Max+Plus2软件中,不能直接使用以下(?)种编辑器

A.原理图编辑器

B.波形图编辑器C.文本编辑器D.网表编辑器

2.Max+Plus2软件中,可同时处理(?)个项目文件

A.4 B.3 C.2 D.1

3.Max+Plus2软件中,存盘文件的名称可以包括(?)内容

A.英文字母B.数字 C.下划线 D.以上三者均是

4.Max+Plus2软件中,原理图编辑器是( )

A.graphicEditor B.SymbolEditor C.TextEditor D.Waveform Editor

5.原理图编辑器默认存盘类型是()

A.SOF?B.GDF C.VHD?D.SCF

6.VHDL设计的文件存盘类型是( )

A.SOF B.GDF C.VHD?D.SCF

7.波形图编辑器默认存盘类型是(?)

A.SOF?

B.GDF

C.VHD?D.SCF

8.在使用EPF10K10LC84-4芯片时,下载文件的类型是(?)

A.SOF?

B.GDF?C.VHDD.SCF

9.Max+Plus2软件提供的“prim”库是指()

A.宏功能元件库B.基本元件库C.自定义元件库D.自定义参数元件库

10.在进行数据下载操作之前,必须执行的操作是( )

A.选择下载文件B.选择下载端口 C.项目编译?D.选择下载对话框

11.执行创建新文件的操作是( )

A.→save & compile??B.→save & check

C.→set project to current file?D.

12.执行存盘并侦错的操作是(?)

A.→save& compile?B.→save & check

C.→setproject to current file D.

13.执行存盘并编译的操作是()

A.→save & compile ?B.→save &check

C.→setprojectto current fileD.

14.执行设置文件与项目保持一致的操作是(?)

A.→save& compile

B.→save & check

C.→set projecttocurrent file D.

15.调出引脚配置图的操作是( )

A.Max+Plus2→Waveform Editor?

B.Max+Plus2→FloorPlan Editor

C.Max+Plus2→simulator

D.Max+Plus2→programmer

16.调出下载对话框的操作是(?)

A.Max+Plus2→Waveform Editor?B.Max+Plus2→FloorPlan Editor

C.Max+Plus2→simulator??D.Max+Plus2→programmer

17.调出仿真器的操作是(?)

A.Max+Plus2→Waveform EditorB.Max+Plus2→FloorPlan Editor

C.Max+Plus2→Simulator? D.Max+Plus2→Programmer

18.选择下载对话框的操作是( )

A.Options→Hardware setup??B.Options→Programming options C.Options→SelectDevice ?D.Options→Sound

19.选择下载文件的操作是(?)

A.Programming File???B.Max+Plus2→Programmer

C.JTAG Programming File?D.

20.设置下载端口时,选择()端口

A.BitBlaster B.ByteBlaster(MV) C.MasterBlaster(com)

D.LP6+PL-MPU

三、简答题:

1.详细叙述使用Max+plus2软件进行设计的完整过程。

?第四部分?VHDL知识

一、填空:

1.VHDL程序中,用________来引导程序包声明部分;用______来引导实体声明部分;用__________来引导结构体部分

2.程序声明语句“use ieee.std_logic_unsigned.all”是指使用__________库中的__________程序包中的所有文件

3.VHDL程序中,“STD_LOGIC_VECTOR(0 TO 11)”定义了一个长度为____数据类型为__________的数据

4.VHDL程序中,每一个“IF”语句必须有一个“IF”和_______

5.VHDL程序中,“CASE”语句最佳的结尾是______________

6.VHDL程序中,“/”计算都是以________的正整数次幂为右操作数的

7.VHDL程序中,表达式“c:=a orb”所表示的含义是向__________类型的数据对象传送__________结果

8.用VHDL的IF语句描述信号CLK的上升沿:______________

二、选择题:

1.以下不属于VHDL程序基本结构的是( )

A.程序包声明

B.实体声明C.结构体D.类属声明

2.“process”语句属于()语句

A.串行B.并行C.转折D.分支

3.VHDL中常用的数据对象有(?)

A.常量B.变量C.信号D.以上三者均是

4.信号声明的引导词是()

A.constant

B.variable C.signal D.以上三者均不是

5.变量声明的引导词是()

A.constant B.variableC.signalD.以上三者均不是

6.声明a是标准逻辑型信号的语句是( )

A.constant?a?:std_logic;?B.variable?a:std_logic;

C.signal?a :std_logic;?D.signal a :std_logic_vector(2 downto?0);

7.声明a是标准逻辑矢量型3位信号的语句是()

A.constant a :std_logic;

B.variable?a :std_logic;

C.signala?:std_logic; D.signal?a :std_logic_vector(2?downto 0);

8.向标准逻辑矢量型2位信号a赋值的正确操作是()

A.a<=’x’;?B.a:=’x’; C.a<=”xx”; D.a:=”xx”;

9.以下标志输入端口类型的是(?)

A.inB.out C.buffer D.inout

10.以下标志输出端口类型的是(?)

A.in B.out C.bufferD.inout

三、程序分析

如下是数码管显示控制程序的源代码,根据要求完成题目。

(1)完成程序,使其完成相应的功能

library ieee;

use ieee.std_logic_1164._________;

entitycount_disp is

?port(

? count_data?:in?integer?range0?to?9;

??clk ?:in ?std_logic;

?ctrl_sel ??:in?integer range 0?to?2;

disp_data :out?std_logic_vector(0?to 7);

disp_sel ??:out?std_logic_vector(0?to?______)

?);

end entity;

architecture display of?count_disp is

?signal?sigdata?:std_logic_vector(0 to 7);

begin

BB:?process(clk)

begin

??if?(clk'______?and?clk='1') then

???case?ctrl_sel is

???when 0?=> d isp_sel<="00";disp_data<=sigdata;

??when?1=> disp_sel<="01";disp_data<=sigdata;

???when?2?=> disp_sel<="10";disp_data<=sigdata;

??when?others=>disp_sel<="11";

????end case;

???end?if;

end processBB;

CC:?process(count_data)

?begin

?case ?_________?is

??when 0?=>?sigdata<="00111111";

???when?1?=>?sigdata<="00000110";

?sigdata<="01011011";

=>

??when?2?

???when?3=> sigdata<="01001111";

??when 4?=> s igdata<="01100110";

?sigdata<="01101101";

?when5?

=>

?when?6?=> sigdata<="01111101";

???when?7=> sigdata<="00000111";

??when?8?=> sigdata<="01111111";

???when 9?=>sigdata<="01101111";

????when?others=>sigdata<="10000000";

?end case;

end?process?CC;

end_________;

(2)试分析进程BB所实现的功能

?电工电子实习电工实训部分

一、填空题

1.电器是指用于接通和断开电路或者对电路和电气设备进行____________、____________、____________和____________的电工器件,低压电器按它在电气线路中的用途可分为____________和____________。

2.常用低压配电电器有_________、_________、_________、等,常用的低压控制电器有____________、____________、____________等。

3.接触器是工厂电气控制系统中一种重要的低压电器。接触器有____________及____________功能,控制容量大,其控制对象主要是____________,也可用于控制其它负载,如电路、电焊机等,可用于____________和__________

__。

4.继电器是一种根据外界的____________或____________的变化来接通或断开控制电路的自动电器,主要用于____________、____________或____________。常用的继电器有____________、____________、____________和____________等。

5.电磁铁是利用____________来吸持钢铁零件,操纵、牵引机械装置以完成预期的动作的低压电器。

二、判断题

1.常见的低压控制电器有断路器、熔断器、刀开关、转换开关、继电器等。()2.低压熔断器是低压供配电系统和控制系统中最常用的安全保护电器,只能用作短路保护,不能用于过载保护。??????( )

3.开启式刀开关必须垂直安装在配电板上,并保证手柄向上为合闸,允许平装或倒装。

?????????()

4.热继电器是利用电流的热效应来推动机构使触点闭合或断开的保护电器,它用于电动机的过载保护、短路保护。????( )

5.安装接触器时,其低面应与地面垂直,倾斜角度小于5度,否则会影响接触器的工作特性。?????????( )

三、绘图题

1.画出接触器控制的点动控制线路的电路图。

2.画出接触器控制的连续控制线路的电路图。

3.画出接触器控制的正转控制线路的电路图;

4.画出接触器控制的两地控制线路的电路图。

5.画出普通车床电气控制电路。

四、设计题

1.设计一电气控制电路,主电路有电动机一台,可以实现两地控制电动机运行,在主控制室可以控制电动机启动和停止,在现场只能控制电动机停止,要求系统有短路保护、过载过热保

相关主题
相关文档
最新文档