多位LED显示器动态扫描驱动电路设计

多位LED显示器动态扫描驱动电路设计
多位LED显示器动态扫描驱动电路设计

《多位LED显示器动态扫描驱动电路》报告

(一)目的:

1、了解多位LED显示器动态扫描驱动电路的基本工作原理;

2、完成多位LED显示器动态扫描驱动电路设计并分析计算单元电路;

3、绘制多位LED显示器动态扫描驱动电路图,针对工作原理进行参数估算;

4、电路功能的检测和调试;

5、设计答辩,完成设计报告。

(二)结构图

ID 2D JD ID

紀LED就tl懈醴帆髓用

(三)电路总体功能概述

该电路的功能是通过控制数据选择器输入端的高低电平来使四

个LED显示器可以显示0-9任意一个数字,实现动态扫描功能。由振荡电路,控制电路,四位四选一数据选择器,一位LED译码驱动

电路和四位LED显示电路组成。

首先用555定时器构成频率为1000赫兹多谐振荡器产生脉冲信号,再将信号传输到74构成的二位二进制触发器,使该触发器输出00,01,10, 11。将触发器的两输出端分别接到数据选择器153的S1,

S0,将四个输入D0或D1D2D3信号传输到LED显示电路,使LED显示不同数字,将触发器的两输出端接到138的A1,A0 (A2接0),再将138 的输出Y0,Y1,Y2,Y3接到四个LED的共阴极,控制LED的显示状态,把74IS153的输出端与CC4511的四个输入端相连,把LED显示器的a,b,c,d,e,f,g与CC4511的输出端相连,这样便可以通过555定时器产生的脉冲控制四个LED的显示顺序,同时调整153的输入端的高低电位,这样便实现了对四个LED的显示控制,可随意现实0至9的数字。

振荡电路由555定时器构成的多谐振荡电路组成,有振荡电路提供脉冲,振荡周期T=Tpl+Tph=R1*C*ln2+ (R1+R2)*C*ln2=0?001s,则其振荡频率为1000Hz,多谐振荡器在接通电源后无需外接触发信号就可以产生矩形脉冲或方波。

控制电路是一片74LS74构成的两位二进制触发器,它接收到控制电路的振荡脉冲,产生00,01,10,11的输出信号。

数据选择器由两片74LS153构成,其功能为四选一数据选择器,接收控制电路的输出信号,分别选择四个输入D0或D1或

D2或D3信号传输到LED显示电路,使LED显示不同数字

译码驱动电路由一片八选一的数据选择器74LS138组成,它接受控制电路输出的信号同时将自身的输出信号分别连接到4片

LED的共阴极控制LED显示器的工作状态?

显示电路由一片4511构成,其接收74LS153输出的信号分别使四个LED显示器显示8421BCD码所代表的十进制数。

(四)元器件表

CD4511 1片,NE555 1片,74LS138 1片,七段共阴极数码管 4

只,74LS153 2 片,74LS74 1 片,0.1卩 F 电容 2 个,5?1K Q

NE555各脚主要功能如下: 1地GND 2触发3输出4复位5控制电压6门限

(阈值)7放电8电源

电压V CC

NE555芯片功能

555芯片是定时器,是一种模拟和数字功能相结合的中规模集成器 件。555定时

器成本低,性能可靠,只需要外接几个电阻、电容,就 可以实现多谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变 换电路。它内部包括两个电压比较器,三个等值串联电阻,一个RS 触 发器,一个放电管T 及功率输出级。它提供两个基准电压 VCC/3和 2VCC/3。555定时器的功能主要由两个比较器决定。两个比较器的

输出电压控制RS 触发器和放电管的状态。在电源与地之间加上电

面包板1块,5V 稳压电源1台。

1 -GND

2 - Trigger

3 - Output

4 - Reset

5 - Control voltage

6 - Threshold

7 - Discharge 8

Vcc

个,

压,当5脚悬空时,则电压比较器A1的反相输入端的电压为2VCC /3 , A2的同相输入端的电压为VCC /3。若触发输入端TR的电压小于VCQ3,则比较器A2的输出为1,可使RS触发器置1,使输出为1。如果阈值输入端TH的电压大于

2VCC/3,同时TR端的电压大于VCQ3,则A1的输出为1,A2的输出为0,可将RS触发器置0 , 使输出为0。

(2)74LS74 芯片

14 | 】s| 1 1]】门| 9 | 呂|

Vot 曲2D 2CP 2骗2Q 20 74LS74

iffj ID 1CP 曲1Q 莎GND

功能:74LS74是一个双D触发器,其功能比较的

多,可用作寄存器,移位寄存器,振荡器,单稳

态,分频计数器等功能。此电路中,74LS74 作分

频器使电路产生四分频。

£人输ifi

S D R D CP D Q u Q ” I

01X x..10 10X\0 .i 00X X 1 *爭11*1 1 0 1100 1

11I X or

输入输出S D R D CP T Q u 1 01X 1 10X6 1110b I

1I1

10 3■k10

用,将脉冲信号进行四分频。(3) 74LS153芯片

74LS153是一个双4选1数据选择器,IS 、2S 为两个独立 的使能端,低

电平有效; A i 、A o 为公用的地址输入端;1D 0?

1D 3和2D ?2D 3分别为两个4选1数据选择器的数据输入端; 1丫、2Y 为两个输出端。

(1) 当使能端1S ( 2S ) = 1时,多路开关被禁止,无输出,Y = 0。

(2) 当使能端1S ( 2S ) = 0时,多路开关正常工作,根据地址码 AA 的状态,将相应的数据D 0?D 3送到输出端丫。

(4) 74LS138 芯片

74LS138是3线一8线译码器,该译码器有3位二进制输入A 2A 1A 0,它们 共有8种

状态的组合,即可译出8个输出信号Y o ~丫7,输出为低电平有 效,当使能输入端

E3=1,且玉二在=0时,该译码器处于工作状态. (5) CD4511 芯片

图9一6为(X4511引脚十列

16丨 15丨"I wl 12丨 111 10丨 9丨

V DD f g a b c d e

Vcc 耳禺松hb 如% ° H nn R ]向向应同向

UULJkJUkJLLJLiJ

B

自加騙幅幅站GNO

数据输入

输出 ¥

B A

C* G C ; 6

X X

X X X X 1 0 0 0

0 X X X 0 0 0 0 1 X X X 0 P 1 0 \ x 0 X X 0 0 0 \ X 1 X X 0 1 1 0

X X 0 X

0 0 1 0 X X 1 x 0

1

1 1 X X x 0 0 0

1

1

X X

x 1

1

16 15 14 13 12 11 10 9

74LS138

1 2 3 4 5 6 7 8

几)4地i 罠爲虽辟G 鮎Q

74IS138引脚图

A 输

&

忑+忑 & A A % K ,血芯 r. r s

r,

0 X x x

X 1 1 1 1 i 1 1 1 X 1

x X X

1 1 1 1 i 1 1 1 1 0 0 a

a

0 1 1 1 i 1 1 1 1 □ 0 a 1

1 0 1 1 i 1 1 1 1 □ 0 1 a

1 1 0 1 i 1 1 1 1 □ 0 1

1

1 1 1 0 i 1 1 1 1 0 1 a a

1 1 1 1 0 1 1 1 1 0 1 a

1

1 1 1 1 1 □ 1 1 1 □ 1 1 a

1 1 1 1 1 1 □ 1 1

1

1

1

i

1

1

1 1 1 1 □

3线-8线译码器74LS138的功能表

J CC451 1

B C LT BL LE DA Vss

1| 2| 3| 4| 5| 6| 7| 8|

其中图9 —6 CC4511引脚排列

A. B> C. D BCD码输入端

氐b. J d.巳、f、g— i輛马输岀端.输岀有效,用来驱动共阴极LED数

码管〃

LT —测试输入端.LT= “(T时,译码输出全为

冠一消隐输入端,BI= ? 时.译码输出全为叩

LE —锁左端,LE= **T f时译码器处丁锁定(保持》状态,译码输出保持在LE=O时的数值,LE=0为疋常诽码。

衣9-2为CC4511功能衣。CC4511内接有上拉电阻,故只需在输出端与数码管笔段之间串入限流电阻即可工作.译码器还有拒伪码功能,当输入码趙过1001时,输岀全为“0:数码管熄灭。

a[本数■ ?■■电路实验装r'7 I d完成了译码器CC4511和数码管BS202之间的连接.实验时,只要接通+5V电源和将十进制数的BCD码接至译码器的相应输入端 A.B.C.D即可显示0?9的数字出四位数码管可接受四组BCD码输入.CC1511 与LED数码管的连接如图9-7所示。

表9-2

图9 -7 CC4511驱动 位LED 数码管

(六)电路测试方法和调试过程

首先,我们根据画出的原理图连接电路, 接入电源后,发现四个 显示器只亮两个,开始排查原因。先测试显示器的好坏,将

CD4511

芯片的L T 置0发现四个显示器都显示8;再检测电路是否接通,用 试电笔检测每个接孔的状态,结果正常;再用示波器检测分频器工作 状态,发现74LS74芯片的两个输出的波形一致,但用双踪示波器检 测时,两个波形不一致,于是猜想是频率过咼造成的;最后在

555

芯片上加一个47uf 的电容来降低频率,结果四个显示器都亮,并且 能成功扫描。

然后,我们开始对74LS153的1D ?2D ?3D ?4D 进行置数,结果发 现显示器显示的数字总是不能与我们想要的相同, 经过对芯片的分析 后,发现我们把置数的原理理解错了, 发现CD4511的四个输入分别 由

码输入

V:;' ■

A Vcc a B

b C

c

D CC451 1 a

LT e B?

f

LE

Vss

g

LED

^1—

^1—

74LS74 芯片的1D!,2D I,3D I,4D I;1D2, 2D2, 3D2, 4D2;1D3, 2D3, 3D3,

4D3;1D4,2D4, 3D4, 4D4控制,弄清原理后,成功的得到了想要的数字。(七)思考题

1?同样是七段共阴极数码管的译码驱动电路,74LS48和CC4511在

逻辑功能和控制引脚上有什么区别?

答:区别1:74LS48芯片可以输出0~15,而CC4511芯片只能输出0~9, 都动能表可以看出,在输出10~15时消隐。

区别2:74LS48控制端RBI可以不置数,而CC4511的控制端都要置高电平才能正常工作。

2.使用动态扫描译码驱动电路与普通的直接译码驱动电路相比有什

么优势和不足?

答:优势:使用普通的直接译码驱动电路一片芯片只能控制一个LED 显示器,本实验中有四个显示器,就需要四片芯片,而使用动态扫描译码驱动电路只需要一片芯片就可以控制四个显示器,节省了芯片,体现了实验的节约原则。不足:使用动态扫描译码驱动电路需要的芯片多,电路复杂,容易出错。

2如果希望将四位LED的动态扫描译码驱动电路改成八位LED的,应该怎样修改设计?

答:将控制电路改成用两片74LS74相联实现三个D触发器级联,接收到振荡脉冲后,产生000—111的信号,然后将译码驱动电路接受控制电路输出的信号,同时将自身的八个输出信号分别连接到8片LED的共阴极控制LED显示器的工作状态.数据选择器改为由四片

74LS151构成,其功能为八选一数据选择器,调节4片151的D o到D7的高低电平,将会使LED分别显示0到9不同数字。其余部分保持不变。

一、课程设计的任务或学年论文的基本要求:

1、根据小组选题结果,在教师指导下完成数字钟抢答器多位LED显示器动态扫描驱动电路设计,自主选择、确定合理的设计方案,给出电路结构和连线

电路图。

2、领取器件,组装、调试数字钟抢答器多位LED显示器动态扫描驱动电路。调试成功后请指导老师检查电路,同时每人独立完成答辩。

3、按指导老师要求撰写课程设计报告,绘出完整的电路图。

指导教师签字:xx 教研室主任签字:XXX

XXXX 年XX 月XX 日XXXX

年XX 月XX 日

二、进度安排:

设计时间为一周。

周一、周二查资料、完成led电路的整体方案设计并分析确定各单元电路, 对元器件进行选型。

周三到周六组装、调试led,进行实物检查、设计答辩并完成设计报告。

三、应收集资料及主要参考文献:

[1]康华光?电子技术基础(第五版)?高教出版社,2006.

[2]彭介华.电子技术课程设计指导.高教出版社,1997.

[3]谢自美.电子线路设计、实验与测试(第三版).华中科技大学出版社,2006.

[4]汪学典.电子技术实验.华中科技大学出版社,2006.

摘要

此电路应用了CD4511芯片作为译码器实现了LED动静态显示的基本原理。电路原理简单,价格低廉,可用于多位LED显示。

七段LED显示器也叫数码管,它是由发光二极管组成的一个阵列,常用的LED显示器用共阳极和共阴极两种结构。为了使显示器能发光,并且显示数码,需要加译码驱动电路,常用的译码驱动电路有静态译码显示和动态译码显示。静

态译码显示是指一个译码驱动电路驱动一个七段显示器进行数码显示。动态译码

显示是指多个七段显示器共用一个译码驱动电路,由扫描电路控制每个显示器分

时进行显示,每个显示器按不同的时间轮流使用译码驱动电路。从而使显示电路更加简单。

关键词:LED CD4511译码显示显示器

五、课程设计(学年论文)摘要(英文):

bstract

The circuit apply to the LED tenden cy,the static dem on strati on basic prin ciple using decoder chip CD4511. The axiom of this circuit is convenien t,the cost is in expe

nsive,available in more positi on LED dem on strati on.

Seve n sect ion of LEDm on itors also called the ni xietube is an array which s composed by the light emitter diode,the commonly used LEDmonitor has altogether the positive level and

altogether the cloudy level two kinds of structures. In order to en able the mon itor to shi ne the dem on strati on numerical code,needs to add the decoding actuation electric circuit,the com monly used decod ing actuati on electric circuit has two kin ds: static decod ing dem on strati on and dyn amic decodi ng dem on strati on. The static decod ing dim on strati on is refers to a decodi ng actuati on electric circuit to actuate a seve n-segme nt display to carry on the

digital dem on strati on. But the dyn amic sca nning dem on stratio n is refers to many seve n-segme nt display to use in com mon a decod ing actuati on electric circuit,c on trols each monitor by the sweep circuit time sharing to carry on the dem on strati on,n amely each mon

itor uses this decod ing actuati on electric

circuit in turn according to the different time. Thus makes the display circuit to be simpler.

Key words: LED CD4511 decod ing dem on stratio n mon itor

七、答辩记录:

问题1:为什么74LS138芯片中G1,G2\G2B分别接高电平,低电平,低电平?

答1:由于G1为使能输入端,接低电平是输出全为1,G2\和G2J接高电平是电路输出也

全为1,都功能表可以看出,当G仁1,且G2\=G^=0时,译码器处于工作状态。

问题2:74LS138芯片中A2引脚能接1吗?

答2:能,因为A2接低电平是控制WY有效,而A2接高电平是控制 Y;-Y^有效。

若将A2接1,只需把Y;-Y7与LED显示器的共阴极相连。

问题3:简述电路的工作原理。

答3:由NE555构成多谐振荡电路,产生脉冲,74LS74进行四分频,产生00, 01,10,11的输出信号,经74LS153接收后,使LED显示不同的数字,74LS153 的输出还与74LS138相连,由74LS138控制LED显示器的工作状态。

记录人: 答辩意见及答辩成绩

答辩小组教师(签

字):

2010年月日

总评成绩:

(教师评分X 75%答辩成绩X 25%

最新LED显示屏驱动电路设计

L E D显示屏驱动电路 设计

摘要 LED显示屏具有使用寿命长、响应速度快、可视距离远、规格品种多、数字化程度高、亮度高等特点,在信息显示领域已经得到了非常广泛的应用。它利用发光二极管构成的点阵模块或像素单元,组成大面积显示屏。其显示方法有静态显示和动态扫描显示。动态扫描显示耗用硬件资源少,但软件要不断处理,耗CPU。静态显示虽然软件简单但硬件价格稍贵。LED显示屏主要包括发光二极管构成的阵列、驱动电路、控制系统及传输接口和相应的应用软件。而驱动电路设计的好坏,对LED显示屏的显示效果、制作成本及系统的运行性能起着很重要的作用。 本文介绍了点阵式电子显示屏的硬件电路设计原理与软件设计方案,采用51系列单片机芯片,得到了一个能同时显示8个汉字16×16的LED点阵式电子显示屏。 关键词:LED显示屏动态扫描 AT89S52 74HC595

ABSTRACT The LED display monitor has the long of service life, quacking response speed, the far of it’s visual range , many specification variety, high of the digitized, the brightness higher characteristic. It in the information demonstrated the domain already obtained the extremely widespread application. It lattice module or picture element unit which constitutes using the light emitter, composes the big area display monitor. It’s demonstration method has the static demonstration and the dynamic scanning demonstration. The dynamic scanning demonstration consumes the hardware resources to be few, but the software must process unceasingly, and consumes CPU. Although the static state software for display is simple, the hardware price is slightly expensive. The LED display monitor mainly include the array which the light emitter diode constitutes , actuates the electric circuit ,the control system and the transmission connection and the corresponding application software. But actuates the circuit design the quality, to the LED display monitor demonstration effect, the manufacture cost and the system performance characteristic is playing the very vital role. Key words: LED display monitor Dynamic scanning AT89S52 74HC595

LED显示屏的的工作原理及驱动电路

单片机采用AT89C51。系统采用12MHz或更高频率的晶振,以获得较高的刷新频率,使显示更稳定。单片价的串口与列驱动器相连,用来送显示数据。P1口低4位与行驱动器相连,送出行选信号,P1.5~P1.7口则用来发送控制信号。P0和P2口空闲,在必要时可以扩展系统的ROM和RAM。 2.2时钟脉冲电路 AT89C51的最高时钟脉冲频率已经达到24MHz,它内部已经具备了振荡电路,只要在AT89C51的两个引脚(即19、18脚)连接到简单的石英振荡晶体的2个管脚即可,同时晶体的2个管脚也要用30pF的电容耦合到地,如图3所示。 图3时钟脉冲电路 2.3复位电路 AT89C51的复位引脚(RESET)是第9脚,当此引脚连接高电平超过2个机器周期时,即可产生复位的动作。以24MHz的时钟脉冲为例,每个时钟脉冲为05μs,两个机器周期为1μs,因此,在第9脚上连接1个2μs的高电平脉冲,即可产生复位动作。最简单的就是只有1个电阻跟1个电容就可构成可靠复位的电路,电阻选择10kΩ,电容选择10μF,如图4所示。 图4复位电路 2.4点阵显示驱动电路设计 采取分立元件三极管作驱动电路,驱动电路如图5所示。 图5点阵显示驱动电路 3系统软件设计 显示屏软件的主要功能是向显示屏提供显示数据,并产生各种控制信号,使屏幕按设计的要求显示。 根据软件分层次设计的原理,可把显示屏的软件系统分成两大层:第一层是底层的显示驱动程序,第二层是上层的系统应用程序。显示驱动程序负责向点阵屏传送特定组合的显示数据,并负责产生行扫描信号和其他控制信号,配合完成LED显示屏的扫描显示工作。显示驱动程序由显示子程序实现;系统环境设置(初始化)由系统初始化程序完成;显示效果处理等工作,则由主程序通过调用子程序来实现。 3.1显示驱动程序 显示驱动程序在进入中断后首先要对定时器T0重新赋初值,以保证显示屏刷新率的稳定。16行扫描格式的显示屏刷新率(帧频)的计算公式如下: 其中:F为晶振频率;T为定时器T0初值(工作在16位定时器模式)。 其次,显示驱动程序查询当前点亮的行号,从显示缓存区内读取下一行的显示数据,并通过串口发送给移位寄存器。 为消除在切换行显示数据时产生的拖尾现象,驱动程序先要关闭显示屏,即消隐,等显示数据输入输出锁存器后,再输出新的行号,重新打开显示。图6所示为显示驱动程序(显示屏扫描函数)流程图。 图6显示驱动程序流程图 3.2系统主程序 系统主程序开始以后,首先是对系统环境初始化,包括设置串口、定时器、中断、端口。然后以“卷帘出”效果显示文字或图案,停留几秒钟,接着向上滚动

led显示屏驱动电路

led显示屏驱动电路 led显示屏驱动电路(图1)LED显示屏常规驱动电路的设计LED显示屏驱动电路的设计,与所用控制系统相配合,通常分为动态扫描型驱动及静态锁存型驱动二大类。以下就动态扫描型驱动电路的设计为例为进行分析:动态扫描型驱动方式是指显示屏上的4行、8行、16行等n行发光二极管共用一组列驱动寄存器,通过行驱动管的分时工作,使得每行LED的点亮时间占总时间的1?n,只要每行的刷新速率大于50Hz,利用人眼的视觉暂留效应,人们就可以看到一幅完 led显示屏驱动电路(图1 ) LED显示屏常规驱动电路的设计 LED显示屏驱动电路的设计, 与所用控制系统相配合, 通常分为动态扫描型驱动及静 态锁存型驱动二大类。以下就动态扫描型驱动电路的设计为例为进行分析: 动态扫描型驱动方式是指显示屏上的4 行、 8 行、 16 行等n 行发光二极管共用一组列驱 动寄存器, 通过行驱动管的分时工作, 使得每行L ED 的点亮时间占总时间的1? n, 只要每行 的刷新速率大于 50 Hz, 利用人眼的视觉暂留效应, 人们就可以看到一幅完整的文字或画

面[ 2 ] 。 常规型驱动电路的设计一般是用串入并出的通用集成电路芯片如74HC595 或MC14094等作为列数据锁存, 以8050等小功率N PN 三极管为列驱动, 而以达林顿三极管 如TIP127等作为行扫描管, 其电路如图1 所示。 如以单色点阵、 16 行×64 列为一个基本单元, 则需用 8 片 74HC595、 64 个8050 及 16 个行扫描管, 其工作原理为: 将八片 74HC595 级连, 共用一个串行时钟CL K 及数据锁存信号STR。当第一行需要 显示的数据经过8×8= 64 个CL K 时钟后将全部移入 74HC595 中, 此时产生一个数据锁存 信号STR , 使数据锁存在74HC595 的后级锁存器中, 则与其各输出位对应的8050 将处于饱 和导通或截止; 同时由行扫描控制电路产生信号使第一行扫描管导通, 相当于第一行L ED 的正端都接高平, 显然第一行L ED 管子的亮灭就取决于74HC595 中所锁存的信号; 在第一 行L ED 管子点亮的同时, 在 74HC595 中移入第二行需要显示的数据, 随后将其锁存, 并同 时由行扫描控制电路将第一行扫描管关闭而接通第二行, 使第二行L ED 管子点亮……以此 类推, 当第十六行扫描过后再回到第一行, 只要扫描速度足够高, 就可形成一幅完整的文字 或图像, 其工作时序见图2。 常规型驱动电路存在的缺陷 该常规型驱动电路的设计结构虽然比较简单, 但存在有二个缺陷: (1)当某一行行驱动管有效时, 该行所对应的所有L ED 发光二极管的点亮电流都将流过该行驱动扫描管, 而一行中点亮L ED 管子的多少随所要显示的文字或图形而不断改 变, 所以行扫描管中流过的电流有较大的变化, 将使其管压降有所改变; (2)点亮L ED 管子的多少而引起电流的变化也将影响到电源电压值的波动, 由此将

led显示屏控制卡-LED显示屏控制器原理

目录 第一章 801型、802型卡功能简介 (1) 第二章硬件参数 (5) 第二章第8代控制系统使用手册 (6) 第三章国标网线制作方法 (25) Index Chapter I Model 801 and 802 functions and features (27) Chapter II Model 801 and 802 manual (30) Chapter III Communication cable making method (49) 深圳三鑫维科技是一家专业生产制造LED显示屏的知名企业,20年的led行业研究经验,如还有不理解的请咨询电话:9

第一章 801型、802型卡功能简介 一、完全兼容第七代 基于第七代升级开发,原功能不少,新功能更多更强大,系统更稳定更可靠。可与七代系统混合使用。 二、支持10位颜色 旧系统的8位颜色只能显示256X256X256=1677216种颜色,新系统颜色数为1024X1024X1024=1073741824种颜色,新系统颜色数是旧系统的64倍。 三、智能连接功能 同一块显示屏的多块接收卡/箱体(含备用的)可以任意交换而不需重新设置,接收卡能智能地动识别需显示的内容。 四、智能监控 每块接收卡均有温度检测和四路风扇监控输出,可根据用户设定的温度上限智能地控制四路风扇转速。 五、公司图标显示 当发送卡电源没开启时显示屏自动显示设定的公司图片,图片像素为128X128,颜色数为16K色。 六、支持16以内的任意扫描方式 原系统只支持1、2、4、8、16扫描,新系统为1、2、3、4、5、6、 7、8、9、10、11、12、13、14、15、16扫描。 七、支持模块宽度为64以内的任意数

LED显示屏的的工作原理及驱动电路

LED点阵显示控制 1原理与方案 1.1原理 对于点阵型LED显示可以采用共阴极或共阳极,本系统采用共阳极,其硬件电路如图1所示。当行上有一正选通信号时,列选端四位数据为0的发光二极管便导通点亮。这样只需要将图形或文字的显示编码作为列信号跟对应的行信号进行逐次扫描,就可以逐行点亮点阵。只要扫描速度大于24 Hz,由于扫描时间很快,人眼的视觉有暂留效应,就可以看到显示的是完整的图形或文字。 图1 硬件电路 本次设计要完成基于单片机的LED点阵显示控制的设计,总体方案是以单片机为控制核心,通过行列驱动电路,在LED点阵屏上以左移方式显示文字。在设计过程中驱动电路运用动态扫描显示,动态扫描简单地说就是逐行轮流点亮,这样扫描驱动电路就可以实现多行(比如16行)的同名列共用一套列驱动器。由于静态扫描显示(并行传输)的局限性,故采用动态扫描显示(串行传输),显示模式用LED点阵屏模块作显示屏。 1.2 总体方案 本次设计单片机采用AT89C51,行电路使用逐行扫描的方式,列电路使用串入并出的数据传输方式,显示屏使用由16x16的点阵LED组成的点阵模块。使用到的芯片4线-16线译码器74LS154和三极管8550。总体设计框图如图2所示。

2.3 复位电路 AT89C51的复位引脚(RESET)是第9脚,当此引脚连接高电平超过2个机器周期时,即可产生复位的动作。以24 MHz的时钟脉冲为例,每个时钟脉冲为05μs,两个机器周期为1 μs,因此,在第9脚上连接1个2μs的高电平脉冲,即可产生复位动作。最简单的就是只有1个电阻跟1个电容就可构成可靠复位的电路,电阻选择10 kΩ,电容选择10μF,如图4所示。 图4 复位电路 2.4 点阵显示驱动电路设计 采取分立元件三极管作驱动电路,驱动电路如图5所示。 图5 点阵显示驱动电路 3 系统软件设计 显示屏软件的主要功能是向显示屏提供显示数据,并产生各种控制信号,使屏幕按设计的要求显示。 根据软件分层次设计的原理,可把显示屏的软件系统分成两大层:第一层是底层的显示驱动程序,第二层是上层的系统应用程序。显示驱动程序负责向点阵屏传送特定组合的显示数据,并负责产生行扫描信号和其他控制信号,配合完成LED显示屏的扫描显示工作。显示驱动程序由显示子程序实现;系统环境设置(初始化)由系统初始化程序完成;显示效果处理等工作,则由主程序通过调用子程序来实现。

3款LED显示屏驱动芯片比较(精)

LED显示屏作为一项高科技产品引起了人们高度重视,采用计算机控制,将光、电融为一体的大屏幕智能显示屏已经应用到很多领域。LED显示屏的像素点采用LED发光二极管,将许多发光二极管以点阵方式排列起来,构成LED阵列,进而构成LED屏幕。通过不同的LED驱动方式,可得到不同效果的图像。因此驱动芯片的优劣,对LED显示屏的显示质量起着重要的作用。 LED驱动芯片可分为通用芯片和专用芯片。通用芯片一般用于LED显示屏的低端产品,如户内的单、双色屏等。最常用的通用芯片是74HC595,具有8位锁存、串一并移位寄存器和三态输出功能。每路最大可输出35mA 电流(不是恒流一般IC厂家都可生产此类芯片。 由于LED电流特性器件,即在饱和导通的前提下,其亮度随着电流大小的变化而变化,不是随着其两端电压的变化而变化。因此,专用芯片的一个最大特点是提供恒流源。恒流源可保证LED稳定驱动,消除LED闪烁现象。下面将重点介绍LED显示屏的专用驱动芯片。专用芯片的主要参数和发展现状专用芯片具有输出电流大、恒流等基本特点,比较适用于要求大电流、画质高的场合,如户外全彩屏、室内全彩屏等。专用芯片的关键性能参数有最大输出电流、恒流源输出路数、电流输出误差(bittobit,chiptochip和数据移位时钟等。1最大输出电流目前主流的恒流源芯片最大输出电流多定义为单路最大输出电流,一般90mA 左右。电流恒定是专用芯片的基本特性,也是得到高画质的基础。而每个通道同时输出恒定电流的最大值(即最大恒定输出电流对显示屏更有意义,因为在白平衡状态下,要求每一路都同时输出恒流电流。一般最大恒流输出电流小于允许的最大输出电流。2恒流输出通道恒流源输出路数有8位(8路恒源和16位(16路恒源两种规格,现在16位源占主流,其主要优势在于减少了芯片尺寸,便于LED驱动板 PCB布线,特别是对于点间距较小的LED驱动板更有利。3电流输出误差电流输出误差分为两种,一种是位间电流误差,即同一个芯片每路输出之间的误差;另一种是片间电流误差,即不同芯片之间输出电流的误差。电流输出误差是个很关键的参数,对显示屏的均匀性影响很大。误差越大,显示屏的均匀性越差,很难使屏体达到白平衡。目前主流恒流源芯片的位间电流误差(bittobit一般在±6%以内,chiptochip片间电流误差在±15%以内。4数据移位时钟数据移位时钟决定了显示数据的传输速

LCD显示屏的器件选择和驱动电路设计说明

LCD显示屏的器件选择和驱动电路设计 如何实现LCD平板显示屏驱动电路的高性能设计是当前手持设备设计工程师面临的重要挑战。本文分析了LCD显示面板的分类和性能特点,介绍了LCD显示屏设计中关键器件L DO和白光LED的选择要点,以及电荷泵LED驱动电路的设计方法。 STN-LCD彩屏模块的内部结构如图1所示,它的上部是一块由偏光片、玻璃、液晶组成的LCD屏,其下面是白光LED和背光板,还包括LCD驱动IC和给LCD驱动IC提供一个稳定电源的低压差稳压器(LDO),二到八颗白光LED以及LED驱动的升压稳压IC。 STN-LCD彩屏模块的电路结构如图2所示,外来电源Vcc经LDO降压稳压后,向LCD驱动IC如S6B33BOA提供工作电压,驱动彩色STN-LCD的液晶显示图形和文字;外部电源Vcc经电荷泵升压稳压,向白光LED如NACW215/NSCW335提供恒压、恒流电源,LED的白光经背光板反射,使LCD液晶的65K色彩充分表现出来,LED的亮度直接影响LCD色彩的靓丽程度。

LCD属于平板显示器的一种,按驱动方式可分为静态驱动(Static)、单纯矩阵驱动(Simple Matrix)以及有源矩阵驱动(Active Matrix)三种。其中,单纯矩阵型又可分为扭转式向列型(Twisted Nematic,TN)、超扭转式向列型(Super Twisted Nematic,STN),以及其它无源矩阵驱动液晶显示器。有源矩阵型大致可区分为薄膜式晶体管型(ThinFilmTr ansistor,TFT)及二端子二极管型(Metal/Insulator/Metal,MIM)两种。TN、STN及TFT型液晶显示器因其利用液晶分子扭转原理的不同,在视角、彩色、对比度及动画显示品质上有优劣之分,使其在产品的应用范围分类亦有明显差异。以目前液晶显示技术所应用的范围以及层次而言,有源矩阵驱动技术是以薄膜式晶体管型为主流,多应用于笔记本电脑及动画、影像处理产品;单纯矩阵驱动技术目前则以扭转向列以及STN为主,STN液晶显示器经由彩色滤光片(colorfilter),可以分别显示红、绿、蓝三原色,再经由三原色比例的调和,可以显示出全彩模式的真彩色。目前彩色STN-LCD的应用多以手机、PDA、数码相机和视屏游戏机消费产品以及文字处理器为主。 器件选择 1. LDO选择。由于手机、PDA、数码相机和视屏游戏机消费产品都是以电池为电源,随着使用时间的增长,电源电压逐渐下降,LCD驱动IC需要一个稳定的工作电压,因此设计电路时通常由一个LDO提供一个稳定的 2.8V或 3.0V电压。LCM将安装在手机的上方,与手机的射频靠得很近,为了防止干扰,必须选用低噪音的LDO,如LP2985、AAT3215。 2. 白光LED。按背光源的设计要求,需要前降电压(VF)和前降电流(IF)小、亮度高(500-1800mcd)的白光LED。以手机LCM为例,目前都使用3-4颗白光LED,随着LED 的亮度增加和手机厂商要求降低成本和功耗,预计到2004年中LCM都会选用2颗高亮度白光LED(1200-2000mcd),PDA和智能手机由于LCD屏较大会按需要使用4-8颗白光LED。NAC W215/NSCW335和EL 99-21/215UCW/TR8是自带反射镜的白光LED,EL系列其亮度分为T、S、R三个等级,T为720-1000mcd,S为500-720mcd,都是在手机LCD背光适用之列。 LED驱动电路设计

LED显示屏原理及调试技术指南

LED显示屏原理及调试技术 第一章原理篇 第一节并行灯板原理 1. 灯板驱动原理 图1 讲的是如何才能让一颗LED 灯点亮,我们知道红灯的Vf 一般为2.2V 左右,绿灯、蓝灯的Vf 一般为3.2V 左右,一般电流设计在10mA~20mA,电流过高可能会烧坏LED 灯,满足以上两个条件就可以驱动LED 灯的正常点亮。 (Vled:是供电电压,一般为5V,现在有下降的趋势,可以做到低压节能。Vf:是发光二极管正向导通电压,Vds:是驱动芯片导通后电压) 图 1 灯板实际是由多个LED 灯组合而成的,下图是一个简单的单色灯板示意图: 图 2

图 3 图3 是一个8*8 大小,8 扫的灯板,扫描屏灯板是逐行点亮的,两扫之间扫描间隔的时间是非常短的,由于人眼的视觉暂留效应,所以我们看起来就是连续的画面.驱动电路的框架如下图所示,行控制信号A、B、C 控制138 译码器,138 译码器输出8 路信号控制行管4953,然后4953 输出端控制灯板每一行灯的阳极。恒流驱动芯片的每个通道控制灯板的每一列,要想点亮一颗灯板,只需要把它所在的列输出低电平,行输出高电平即可。 2. 驱动芯片的控制信号 ●CLK 时钟信号:提供给移位寄存器的移位脉冲,每一个脉冲将引起数据 移入或移出一位。数据口上的数据必须与时钟信号协调才能正常传送数 据,数据信号的频率必须是时钟信号的频率的1/2 倍。 ●LAT(STB)锁存信号:将移位寄存器内的数据送到锁存器,并将其数据 内容通过驱动电路通过点亮LED 显示出来。 ●OE 使能信号:当OE 为低时,启动OUT0—OUT15 的输出,只要调整OE 脉 宽可以实现对整屏亮度控制,也用于显示屏消隐。

LED显示屏的组成

LED显示屏的组成 姓名:彭兵 学号:0809131070 班级:08通信工程

一 LED显示模块结构 LED显示屏通常由若干LED点阵显示模块组成,用于显示的8x8单色LED显示点阵模块,每块有64个LED。为了减少引脚且便于封装,LED 显示点阵模块采用阵列形式排布,即在行列线的交点处接有显示LED 。 8X8 LED点阵的外观及引脚如图1,等效电路图如图2所示。LED 点阵显示模块的显示一般采用动态扫描驱动方式,每次最多只能点亮一行LED。微处理器通过和驱动器的协同工作来完成对每一个LED点阵显示模块内每个LED显示点的亮、熄灭控制操作。 图1 8*8点阵外观及引脚图

图2 8*8点阵等效电路 二 LED显示系统的构成 LED显示屏主要包括发光二极管构成的点阵或像素阵列、驱动电路、控制系统和传输接口以及相应的应用软件构成,如图3所示。 图3 LED显示系统构成 2.1 驱动电路 LED显示屏驱动电路的主要作用是接受来自控制系统的数字信号,使LED阵列按要求点亮。 (1)从采用的器件来分有常规型、专用型及功能型: 常规型驱动电路是采用通用的集成电路,如 74HC154,74HC595,

74LS374等作为数据装载的主要器件。这种设计,原理简单,价格便宜,且几乎不受器件来源的限制,是目前较为广泛的应用形式。 专用型驱动电路,是国内一些有实力的LED显示屏制造厂家,通过先进的技术手段,研究开发出的适合自己产品的专用LED显示屏驱动IC。国外的许多IC制造商也在跟踪这个市场,纷纷推出一些新的驱动IC。这些专用型的驱动IC,有的比较简单,仅仅是提高了原来通用型驱动IC的集成度或驱动能力;有的则比较复杂,是根据自己的产品特点开发出来的。 功能型驱动集成电路是在专用型驱动IC的基础上发展起来的。它不仅可以使显示屏的功能增强,而且还大大简化了系统设计的复杂程度,提高了LED显示屏的整体稳定性,是LED显示屏驱动电路的发展趋势。 (2)从实现信息刷新的原理上分,LED显示屏驱动电路又分为扫描型及锁存型两种 : 扫描型是指显示屏4行、8行、16行等n行发光二极管共用一组列驱动寄存器,通过行驱动管的分时工作,使得每行LED 的点亮时间占总时间的 1/n,只要整屏的刷新速率大于50HZ,利用人眼的视觉暂留效应,就可形成一幅完整的文字或画面。这种设计电路结构比较简单,使用元器件较少,成本较低,但由于是分时工作,使得每一行LED的点亮时间减少,使LED的亮度有所降低。这种驱动方式一般用于室内LED显示屏。 锁存型驱动是指显示屏上的每一个 LED都对应于一个驱动电路,

LED显示屏恒流驱动电路设计(精)学习资料

L ED 显示屏恒流驱动电路的设计 堵国梁, 史小军, 朱为① 摘要:本文介绍了L ED 显示屏常规型驱动电路的设计方式及其存在的缺陷, 提出了简单的L ED 显示 屏恒流驱动方式及电路的实现。 关键词:L ED显示屏动态扫描驱动电路 中图分类号:TN 873+. 93 文献标识码:A 文章编号:1005-9490(2001 03-0252-05 1引言 L ED 显示屏是80年代后期在全球迅速发展起来的新型信息显示媒体, 它利用发光二极管构成的点阵模块或像素单元, 组成大面积显示屏幕, 以其可靠性高、使用寿命、环境适应能力强、性能价格比高、使用成本低等特点, 在信息显示领域已经得到了非常广泛的应用[1] 。 驱动电路、控制系统及传输接口和相应L ED 显示屏主要包括发光二极管构成的阵列、 的应用软件等,其中驱动电路设计的好坏,对LED显示屏的显示效果、制作成本及系统的运行性能起着很重要的作用。所以, 设计一种既能满足控制驱动的要求, 同时使用器件少、成本低的控制驱动电路是很有必要的。本文就常规型驱动电路的设计作些分析并提出恒流驱动电路的设计方式。 2 L ED 显示屏常规驱动电路的设计

L ED 显示屏驱动电路的设计, 与所用控制系统相配合, 通常分为动态扫描型驱动及静态锁存型驱动二大类。以下就动态扫描型驱动电路的设计为例为进行分析: 动态扫描型驱动方式是指显示屏上的4行、8行、16行等n 行发光二极管共用一组列驱 动寄存器, 通过行驱动管的分时工作, 使得每行L ED 的点亮时间占总时间的 1 n , 只要每行的刷新速率大于50H z , 利用人眼的视觉暂留效应, 人们就可以看到一幅完整的文字或画面[2] 。 常规型驱动电路的设计一般是用串入并出的通用集成电路芯片如74HC 595 或 M C 14094等作为列数据锁存, 以8050等小功率N PN 三极管为列驱动, 而以达林顿三极管如T IP 127等作为行扫描管, 其电路如图1所示。 ①来稿日期:2001206225

16×16LED点阵屏原理图及驱动程序

16×16LED点阵屏原理图及驱动程序 这是我玩LED点阵屏的第一块电路板,也是学习单片机入门的第一个实验器材。它由4片30mm×30mm的8×8红色高亮点阵模块与两片74HC595、两片74HC138、16只8550晶体管、一片74HC244集装在一块宽高65mm×210mm的双面PCB板上,它应该是一组级联安装的LED 屏的一个单元模块,拿到它时,我正在学习《无线电》杂志2007/11期刊上杜洋的一组文章,刚刚做好了ISP下载线,只做了“一个发光二极管的控制实验”,面对这个既好玩又陌生的玩意,真是无从下手,通过上网学习,解析研究,前后弄了两个多月,最后在《无线电》杂志2005/12期的配文程序的帮助下,终于踏进了点阵控制的门槛,两年过去了,我又玩了许多单片机控制器件,但这块屏却一直摆在我的桌案上,每当遇到难题时,看看它那稳定清晰的显示,我都能找到许多灵感;最近、在摆弄一块并行驱动的16×64点阵屏时(前几篇文章介绍了)时,因为用的还是这段程序,就又想起了它,虽然程序已经详解过了,但是,为了留记一段经历、一段回忆,决定还是“貂续狗尾”写在这里,留着自己欣赏吧。 一.原理图: 二.汉字左右移动驱动程序 /****************************************************************************** ******** 16×16LED点阵屏原理图及C源汉字左右移动显示驱动程序————wannenggong

单片机:AT89S52 引脚说明:P0.0/P0.1/P0.2/P0.3控制由两片74HC138组成的行驱动控制4-16译码器的A/B/C/D端 P1.0/P1.1控制列驱动控制74HC595的OE/ST端,595的14脚(DS)经244连接RXD,595的12脚(SH)经244连接TXD。 ******************************************************************************* ********/ #include #define uchar unsigned char #define uint unsigned int #define K 5 //移动速度控制变量。 uchar col,disrow; //列移动计数变量。行扫描计数变量。 uint word,zishu; //字形码计数变量。 uchar code HZ[]; uchar BUFF[5]; //64列时应将数值设为11 void two_out(); //显示数据输出函数 void loadoneline_L(void); //取字码数据函数 //void loadoneline_R(void); void sendoneline_L(void); //生成显示数据函数 //void sendoneline_R(void); /******************************************************************** 关于595第13脚的问题:原附图中13脚是接GND的,是电路板的原始设计,调试过程中将IC引脚与电路板隔离后经244引出做为OE引脚,其作用仅为配合延时适度的调整屏显亮度,若13脚接GND,则为全亮度显示,与其他控制并无干涉。 ********************************************************************/ sbit OE=P1^0; //显示开关(595第13脚)。 sbit ST=P1^1; //锁存控制(595第11脚)。此处原错标为12脚,特此更正! /****************************************************************************** *******/ void delay(uint p){ uint i,j; for(i=0;i

LED显示屏驱动电路设计

摘要 LED显示屏具有使用寿命长、响应速度快、可视距离远、规格品种多、数字化程度高、亮度高等特点,在信息显示领域已经得到了非常广泛的应用。它利用发光二极管构成的点阵模块或像素单元,组成大面积显示屏。其显示方法有静态显示和动态扫描显示。动态扫描显示耗用硬件资源少,但软件要不断处理,耗CPU。静态显示虽然软件简单但硬件价格稍贵。LED显示屏主要包括发光二极管构成的阵列、驱动电路、控制系统及传输接口和相应的应用软件。而驱动电路设计的好坏,对LED显示屏的显示效果、制作成本及系统的运行性能起着很重要的作用。 本文介绍了点阵式电子显示屏的硬件电路设计原理与软件设计方案,采用51系列单片机芯片,得到了一个能同时显示8个汉字16×16的LED点阵式电子显示屏。 关键词:LED显示屏动态扫描AT89S52 74HC595

ABSTRACT The LED display monitor has the long of service life, quacking response speed, the far of it’s visual range , many specification variety, high of the digitized, the brightness higher characteristic. It in the information demonstrated the domain already obtained the extremely widespread application. It lattice module or picture element unit which constitutes using the light emitter, composes the big area display monitor. It’s demonstration method has the static demonstration and the dynamic scanning demonstration. The dynamic scanning demonstration consumes the hardware resources to be few, but the software must process unceasingly, and consumes CPU. Although the static state software for display is simple, the hardware price is slightly expensive. The LED display monitor mainly include the array which the light emitter diode constitutes , actuates the electric circuit ,the control system and the transmission connection and the corresponding application software. But actuates the circuit design the quality, to the LED display monitor demonstration effect, the manufacture cost and the system performance characteristic is playing the very vital role. Key words: LED display monitor Dynamic scanning AT89S52 74HC595 目录

基于单片机的点阵LED显示屏的原理

点阵LED显示屏的原理与制作 电子协会:黄世玲截稿2008-12-12 汉字显示屏到处可见,被广泛应用于与汽车报站器,广告屏等。 (图1) 网上也有很多关于汉字显示屏的制作原理的材料,本文是本人参考《例说51单片机(C语言版)》(人民邮电出版社)并在其基础上加以修改制作成功的单个字16*16LED显示屏的一些经验总结。 本文中的16*16LED显示屏是采用4块8*8LED合并而成的。 下图是4个8*8LED组成的显示屏。

(图2) 这里我把点阵LED 显示屏制作的电路原理分成两个部分来介绍即显示屏电路和显示屏驱动电路。 一、 显示屏电路 本人用的是共阴极的8*8点阵屏,在市场上是比较容易买到,下图是8*8点阵屏的实物图。

(图3) 8*8点阵屏的内部电路原理图如图4所示,点阵屏有两个类型,一类为共阴极(左),另一类则为共阳极(右), 下图给出了两种类型的内部电路原理及相应的管脚图。

(图5) LED 阵列的显示方式是按显示编码的顺序,一行一行地显示。每一行的显示时间大约为4ms,由于人类的视觉暂留现象,将感觉到8行LED 是在同时显示的。若显示的时间太短,则亮度不够,若显示的时间太长,将会感觉到闪烁。本文采用低电平逐行扫描,高电平输出显示信号。即轮流给行信号输出低电平,在任意时刻只有一行发光二极管是处于可以被点亮的状态 ,其它行都处于熄灭状态。

为了方便调试本文把4块8*8组成的16*16的点阵屏的行信号扫描输出管脚和列信号显示输出管脚分别引到显示屏的两边。 Protel原理图如下:

(图6) 如图6 所示的原理图中的Si(i=1,2,3,…,16) 代表行扫描信号输出,Di(i=1,2,3,…,16)代表列显示信号输出。 实物电路图的正反面如下:

相关文档
最新文档