多路数字电压表的设计

多路数字电压表的设计
多路数字电压表的设计

多路数字电压表的设计

数字电压表是电子测量中经常用到的电子器件,传统的指针式电压表功能单一、精度低、不能满足数字时代的要求。而采用单片机的数字电压表精度高、抗干扰能力强、可扩展性强、使用方便,在日常生活中广泛应用。

8.3.1 多路数字电压表的功能要求

多路数字电压表的功能要求如下: (1) 输入电压为8路。 (2) 电压值的范畴为0~5V 。

(3) 测量的最小分辨率为,测量误差为。。

(4) 能通过显示器显示通道和通道电压,有效位数为小数点后两位

8.3.2 多路数字电压表的总体设计

多路数字电压表的总体结构如图所示,处理过程如下:先用A/D 转换器对各路电压值进行采样,得到相应的数字量,再按数字量与模拟量成正比关系运算得到对应的模拟电压值,然后把模拟值通过显示器显示出来,另外可以通过按键选择通道。

图 多路数字电压表的总体结构图

根据系统的功能要求,控制系统采用AT89C52单片机,A/D 转换器采用ADC0808(0809)。ADC0808(0809)是8位的A/D 转换器。当输入电压为时,输出的数据值为255(0FFH),因此最大分辨率为(5/255)。ADC0808(0809)具有8路模拟量输入端口,通过3位地址输入端能从8路中选择一路进行转换。如每隔一段时间依次轮流改变3位地址输入

51单片机

时钟电路

复位电路

LCD 按键

ADC0808

端的地址,就能依次对8路输入电压进行测量。显示器采用LCD 显示器,显示效果好。按键可只设定一个,用于选择显示的当前通道。

多路数字电压表硬件电路

多路数字电压表具体硬件电路如图所示。

P 17P 16P 15P17

P16P15P07P 07P06P05P04P03P02P01P00P 00P 01P 02P 03P 04P 05P 06P 07

P 00P 01P 02P 03P 04P 05P 06P27

ST P23P26P21P22P20P24P25ADDC ADDB ADDA ADDA ADDB ADDC ST XTAL2

18

XTAL1

19

ALE 30EA

31

PSEN 29RST

9

P0.0/AD039P0.1/AD138P0.2/AD237P0.3/AD336P0.4/AD435P0.5/AD534P0.6/AD633P0.7/AD732P1.0/T21P1.1/T2EX 2P1.23P1.34P1.45P1.56P1.67P1.78

P3.0/RXD 10P3.1/TXD 11P3.2/INT012P3.3/INT113P3.4/T014P3.7/RD

17

P3.6/WR 16

P3.5/T115P2.7/A1528

P2.0/A821P2.1/A922P2.2/A1023P2.3/A1124P2.4/A1225P2.5/A1326P2.6/A1427U1

AT89C52

D 7

14

D 613D 512D 411D 310D 29D 18D 0

7E 6

R W 5R S 4V S S 1V D D 2V E E

3

LCD1

LM016L

R1

10k

234567891

RP1

RESPACK-8

OUT121ADD B 24ADD A

25ADD C 23VREF(+)12VREF(-)16IN31IN42IN53IN64IN75START 6OUT58EOC 7OE

9

CLOCK 10

OUT220OUT714OUT615OUT817OUT418OUT319IN228IN127IN0

26

ALE 22U2

ADC0808

X1

CRYSTAL

C1

1nF

C2

1nF

C3

1nF

R4

200

56%

RV1

1k

50%

RV2

1k

图 多路数字电压表的电路原理图

其中,ADC0808(0809) 的数据线D0~D7与AT89C52的P2口相连,地址输入端ADDA 、ADDB 、ADDC 与AT89C52的P3口的低3位、、相连,地址锁存控制端ALE 和启动信号START 连接在一起与相连,数据输出允许控制端OE 与相连,转换结束信号EOC 与相连。ADC0809的时钟信号输入端CLOCK 与相连,而由定时/计数器0控制,每10s 取反一次,则CLOCK 的时钟周期为20s ,频率为50KHz ,满足ADC0808(0809)的时钟要求。参考电压VREF+接+5V 电源,参考电压VREF-接地,则当输入电压为时,输出的数据值为255(0FFH),当输入电压为0V 时,输出的数据值为0(00H),最大分辨率为(5/255)。

显示器LCD1602的数据线与89C 52的P0口相连,RS 与相连,R/W 与相连,E 端与

相连。按键只设定了一个K1,与AT89C52的,用于进行通道选择,当按下一次,通道加1,显示下一个通道。

多路数字电压表软件程序

多路数字电压表系统软件程序由主程序、A/D转换子程序和显示驱动程序组成,这里只介绍主程序、A/D转换子程序。

1.主程序

主程序流程如图所示。首先是对定时计数器和LCD初始化,在LCD上显示提示信息,然后进入循环,在循环中依次为:调用A/D转换子程序对8个通道转换一次,判通道键是否按下,按下则当前通道地址加1,当前通道值转换成电压值,显示当前通道。

2.A/D转换子程序

A/D转换子程序用于对ADC0808的8路输入模拟电压进行一次A/D转换,并将转换的数值存入8个相应的存储单元中,流程图如图所示。A/D转换子程序每隔一定时间调用一次,即隔一段时间对输入电压采样一次。

图主程序流程图8.12 A/D转换子程序流程

3.汇编语言源程序清单

;30H~37H存放转换的数字量

;38H~3BH分别放电压当前通道电压的个位、小数点后1位、小数点后2位;3CH单片为通道计数器

RS BIT ;定义LCD1602端口线

RW BIT

E BIT

ST BIT ;定义0808控制线

OE BIT

EOC BIT

CLK BIT

KEY1 BIT ;通道选择按键

ORG 0000H

LJMP MAIN

ORG 000BH

CPL CLK ; 定时/计数器0中断,产生转换时钟

RETI

ORG 50H

;主程序

MAIN: MOV SP,#50H

MOV 39H,#'.'

MOV TMOD,#02H

MOV TH0,#246

MOV TL0,#246

SETB ET0

SETB EA

SETB TR0

LCALL DL10MS

ACALL INIT

MOV A,#81H ;写入显示缓冲区起始地址为第1行第1列

ACALL WC51R

MOV A,#'A' ;第1行第2列显示字母'H'

ACALL WC51DDR

MOV A,#'D' ;第1行第3列显示字母"O"

ACALL WC51DDR

MOV A,#'D' ;第1行第4列显示字母'W'

ACALL WC51DDR

MOV A,#'R' ;第1行第5列显示字母'U'

ACALL WC51DDR

MOV A,#':' ;第2行第6列显示字母'!'

ACALL WC51DDR

MOV A,#0C0H ;写入显示缓冲区起始地址为第2行第5列

ACALL WC51R

MOV A,#'V' ;第2行第5列显示字母'A'

ACALL WC51DDR

MOV A,#'A' ;第2行第6列显示字母'R'

ACALL WC51DDR

MOV A,#'L' ;第2行第7列显示字母'E'

ACALL WC51DDR

MOV A,#'U' ;第2行第8列显示字母' '

ACALL WC51DDR

MOV A,#'E' ;第2行第9列显示字母'Y'

ACALL WC51DDR

MOV A,#':' ;第2行第10列显示字母'O'

ACALL WC51DDR

LOOP: LCALL TEST ;调用ADC0808转换程序8个通道转换一次JB KEY1,NEXT ;有键按下,当前通道地址加1

WAIT2: JNB KEY1,WAIT2

INC 3CH

MOV A,3CH

CJNE A,#08,NEXT

MOV 3CH,#00

NEXT: MOV A,#30H ;取出当前通道值,转换成电压值所对应的字符ADD A,3CH

MOV R0,A

MOV A,@R0

MOV B,#51

DIV AB

ADD A,#30H

MOV 38H,A

MOV A,B

CLR F0

SUBB A,#1AH

MOV F0,C

MOV A,#10

MUL AB

MOV B,#51

DIV AB

JB F0,LOOP2

ADD A,#5

LOOP2: ADD A,#30H

MOV 3AH,A

MOV A,B

CLR F0

SUBB A,#1AH

MOV F0,C

MOV A,#10

MUL AB

MOV B,#51

DIV AB

JB F0,LOOP3

ADD A,#5

LOOP3: ADD A,#30H

MOV 3BH,A

MOV A,#88H ;写入显示缓冲区起始地址为第1行第9列ACALL WC51R

MOV A,3CH

ADD A,#30H ;第1行第9列显示通道号

ACALL WC51DDR

MOV A,#0C8H ;写入显示缓冲区起始地址为第2行第9列

ACALL WC51R

MOV A,38H ;第2行第9列显示整数部分

ACALL WC51DDR

MOV A,39H ;第2行第10列显示小数点

ACALL WC51DDR

MOV A,3AH ;第2行第11列显示小数点后1位

ACALL WC51DDR

MOV A,3BH ;第2行第12列显示小数点后2位

ACALL WC51DDR

AJMP LOOP

;初始化子程序

INIT: MOV A,#00000001H ;清屏

ACALL WC51R

MOV A,#00111000B ;使用8位数据,显示两行,使用5×7的字型LCALL WC51R

MOV A,#00001100B ;显示器开,光标关,字符不闪烁

LCALL WC51R

MOV A,#00000110B ;字符不动,光标自动右移一格

LCALL WC51R

RET

;检查忙子程序

F_BUSY:PUSH ACC ;保护现场

MOV P0,#0FFH

CLR RS

SETB RW

WAIT: CLR E

SETB E

JB ,WAIT ;忙,等待

POP ACC ;不忙,恢复现场

RET

;写入命令子程序

WC51R: ACALL F_BUSY

CLR E

CLR RS

CLR RW

SETB E

MOV P0,ACC

CLR E

RET

;写入数据子程序

WC51DDR:ACALL F_BUSY

CLR E

SETB RS

CLR RW

SETB E

MOV P0,ACC

CLR E

RET

;*****************************************************

;A/D转换子程序,8个通道转换一次转换结果依次存入30H~37H ;***************************************************** TEST: MOV R0,#30H

MOV R2,#00H

TESTART:MOV P2,#0FFH

MOV A,R2

MOV P3,A

CLR ST

NOP

NOP

SETB ST

NOP

NOP

CLR ST

NOP

WAIT1: JNB EOC,WAIT1

MOVD: SETB OE

NOP

NOP

MOV A,P2

MOV @R0,A

CLR OE

NOP

NOP

INC R0

INC R2

CJNE R2,#8,TESTART

RET

;*************************************

;延时子程序

;*************************************

DL10MS: MOV R6,#0D0H ;延时10MS子程序

DL1: MOV R7,#10H

DL2: DJNZ R7,DL2

DJNZ R6,DL1

RET

END

4.C语言源程序清单

#include <>

#include <> ,0,0}; //定义显示缓冲区

uchar data ad_data[8]={0,0,0,0,0,0,0,0}; //0808的8个通道转换数据缓冲区uint temp;

//检查忙函数

void fbusy()

{

P0 = 0xff;

RW = 1;

EN = 1;

EN = 0;

while((P0 & 0x80))

{

EN = 0;

EN = 1;

}

}

//写命令函数

void wc51r(uchar j)

{

fbusy();

EN = 0;

RS = 0;

RW = 0;

EN = 1;

P0 = j;

EN = 0;

}

//写数据函数

void wc51ddr(uchar j)

{

fbusy(); //读状态;

EN = 0;

RS = 1;

RW = 0;

EN = 1;

P0 = j;

EN = 0;

}

void init()

{

wc51r(0x01); //清屏

wc51r(0x38); //使用8位数据,显示两行,使用5*7的字型

wc51r(0x0c); //显示器开,光标开,字符不闪烁

wc51r(0x06); //字符不动,光标自动右移一格

}

/********0808转换子函数********/

test()

{

uchar m;

for (m=0;m<8;m++)

{

P3=m; //送通道地址

ST=0;_nop_();_nop_();ST=1;_nop_();_nop_();ST=0;//锁存通道地址启动转换_nop_();_nop_();_nop_();_nop_();

while (EOC==0); //等待转换结束

OE=1;ad_data[m]=P2;OE=0; //读取当前通道转换数据

}

}

//************延时函数************

void delay(uint i) //延时函数

{uint y,j;

for (j=0;j

for (y=0;y<0xff;y++){;}}

}

//定时器/计数器T0产生0808的时钟

void T0X(void)interrupt 1 using 0

{ CLK=~CLK; }

void main(void)

{

uchar i;

SP=0X50;TMOD=0x02;TH0=246;TL0=246;

ET0=1;EA=1;TR0=1;

delay(10);

init();

wc51r(0x81); //写入显示缓冲区起始地址为第1行第1列

wc51ddr('A'); //第1行第1列显示字母A

wc51ddr('D'); //第1行第2列显示字母D

wc51ddr('D'); //第1行第3列显示字母D

wc51ddr('R'); //第1行第4列显示字母R

wc51ddr(':'); //第1行第4列显示字母:

wc51r(0xC0); //写入显示缓冲区起始地址为第2行第1列

wc51ddr('V'); //第2行第1列显示字母V

wc51ddr('A'); //第2行第2列显示字母A

wc51ddr('L'); //第2行第3列显示字母L

wc51ddr('U'); //第2行第4列显示字母U

wc51ddr('E'); //第2行第5列显示字母E

wc51ddr(':'); //第2行第6列显示字母:

while(1)

{

test(); //调用ADC0808转换程序8个通道转换一次

if (key1==0) {while(key1==0); chnumber++;if (chnumber==8)chnumber=0;}

//有键按下,当前通道地址加1

temp=ad_data[chnumber]; //取出当前通道值,转换成电压值所对应的字符temp=(temp*100)/51;

disbuffer[0]=temp/100+0x30; temp=temp%100;

disbuffer[2]=temp/10+0x30;

disbuffer[3]=temp%10+0x30;

wc51r(0x88);

wc51ddr(chnumber+0x30);

wc51r(0xc8); //显示当前通道

for (i=0;i<4;i++) wc51ddr(disbuffer[i]);

}

}

基于51单片机的简易数字电压表的设计

课题交流毫伏表设计 系别 专业 年级 姓名 学号 指导教师

目录 第一章引言 (2) 1.1摘要 (2) 1.2 设计目的 (2) 1.3设计任务及要求 (2) 1.4 课程设计过程 (2) 第二章系统方案选择和论证 (3) 2.1基本方案论证 (3) 2.2输出部分中各模块的方案选择 (3) 2.3总体方案设计 (4) 第三章AT89C51的结构 (5) 3.1AT89C51的概述 (5) 3.2 AT89C51部结构 (5) 3.3存储器和特殊功能寄存器的介绍 (5) 3.4时钟电路和复位电路 (7) 第4章元器件的选择 (7) 4..1显示 (7) 4.2 模数(A/D)芯片 (11) 4.3 数模AC/DC736芯片 (13) 4.4 OP07 (13) 第五章电路的设计 (14) 5.1时钟电路 (15) 5.2A/D转换程序 (17) 第6章系统的调试 (18) 6.1 硬件的调试 (18) 6.2软件调试 (19) 参考文献 (20) 附录 (20) 程序清单 (20) 元件清单 (25)

容摘要 本次设计主要解决AC/DC转换、A/D转换、数据处理及显示控制等几个模块。控制系统采用AT89C51单片机,A/D转换采用ADC0809。要求交流毫伏表检测信号的电压围:1mv—2v ,输入信号的频率围:10Hz-2000KHz,并在LCD1602液晶上显示测量电压信号。 关键词AT89C51单片机;电压测量;A/D转换;LCD1602液晶显示;AC/DC 转换;放大;衰减。 1.2 设计目的 本课程的任务是通过“交流毫伏表的设计”的设计过程,综合所学课程,掌握目前自动化仪表的一般设计要求,工程设计方法,开发及设计工具的使用方法,通过这一设计实践过程,锻炼学生的动手能力和分析,解决问题的能力;积累经验,培养按部就班,一丝不苟的工作个对所学知识的综合应用能力。 1.3设计任务及要求 1、设计一个交流毫伏表,检测信号的电压围:1mv—2v。 2、输入信号的频率围:10Hz-2000KHz 3、查阅相关资料,了解交流毫伏表的各种现实发法极其特点,并着重掌 握交流毫伏表的设计及显示等。 4、熟悉并掌握个芯片的功能极其管脚分。 5、检测设计电路中所需要的各种电子元器件。 6、对设计的交流毫伏表进行装接与调试,要时设计的电路达标。 7、完成设计交实物图极其设计报告。 1.4课程设计过程 1、各组组成员讨论并进行软硬件系统设计,经指导老师同意进行具体方 案实施。 2、将可行方案硬件电路焊接在万能板上,并检查。 3、软硬件仿真。

数字电压表的设计实验报告

课程设计 ——基于51数字电压表设计 物理与电子信息学院 电子信息工程 1、课程设计要求 使用单片机AT89C52和ADC0832设计一个数字电压表,能够测量0-5V之间的直流电压值,两位数码显示。在单片机的作用下,能监测两路的输入电压值,用8位串行A/D转换器,8位分辨率,逐次逼近型,基准电压为 5V;能用两位LED进行轮流显示或单路选择显示,显示精度0.1伏。 2、硬件单元电路设计 AT89S52单片机简介 AT89S52是一个低功耗,高性能CMOS 8位单片机,片内含8k Bytes ISP(In-system programmable)的可反复擦写1000次的Flash只读程序存

储器,器件采用ATMEL公司的高密度、非易失性存储技术制造,兼容标准MCS -51指令系统及80C51引脚结构,芯片内集成了通用8位中央处理器和ISP Flash存储单元,功能强大的微型计算机的AT89S52可为许多嵌入式控制应用系统提供高性价比的解决方案。 AT89S52具有如下特点:40个引脚,8k Bytes Flash片内程序存储器,256 bytes的随机存取数据存储器(RAM),32个外部双向输入/输出(I/O)口,5个中断优先级,2层中断嵌套中断,2个16位可编程定时计数器,2个全双工串行通信口,看门狗(WDT)电路,片内时钟振荡器。 ADC0832模数转换器简介 ADC0832 是美国国家半导体公司生产的一种8 位分辨率、双通道A/D转换芯片。由于它体积小,兼容性强,性价比高而深受单片机爱好者及企业欢迎,其目前已经有很高的普及率。学习并使用ADC0832 可是使我们了解A/D转换器的原理,有助于我们单片机技术水平的提高。 图1 芯片接口说明: 〃 CS_ 片选使能,低电平芯片使能。 〃 CH0 模拟输入通道0,或作为IN+/-使用。

基于51单片机的数字电压表设计说明

1.1数字电压表介绍 数字电压表简称DVM,数字电压表基本原理是将输入的模拟电压信号转化为数字信号,再进行输出显示。而A/D转换器的作用是将连续变化的模拟信号量转化为离散的数字信号,器基本结构是由采样保持,量化,编码等几部分组成。因此AD转换是此次设计的核心元件。输入的模拟量经过AD转换器转换,再由驱动器驱动显示器输出,便得到测量的数字电压。 本次自己的设计作品从各个角度分析了AD转换器组成的数字电压表的设计过程及各部分电路的组成及原理,并且分析了数模转换进而使系统运行起来的原理及方法。通过自己的实践提高了动手能力,也只有亲历亲为才能收获掌握到液晶学过的知识。其实也为建立节约成本的意识有些帮助。本次设计同时也牵涉到了几个问题:精度、位数、速度、还有功耗等不足之处,这些都是要慎重考虑的,这些也是在本次设计中的收获。 1.3 本次设计要求 本次设计的作品要求制作数字电压表的量程为0到10v,由于用到的模数转换芯片是ADC0809,设计系统给的供电电压为+5v,所以能够测量的电压围为-0.25v到5.25v之间,但是一般测量的直流电压围都在这之上,所以采用电阻分压网络,设计的电压测量围是0到25v之间,满足设计要求的最大量程5v的要求。同时设计的精度为小数点后三位,满足要求的两位小数的精度,在不考虑AD芯片的量化误差的前提下,此次设计的精度能够满足一般测量的要求。

2单片机和AD相关知识 2.1 51单片机相关知识 51单片机是对目前所有兼容intel 8031指令系统的单片机的统称。该系列单片机的始祖是intel的8031单片机,后来随着技术的发展,成为目前广泛应用的8为单片机之一。单片机是在一块芯片集成了CPU、RAM、ROM、定时器/计数器和多功能I/O口等计算机所需要的基本功能部件的大规模集成电路,又称为MCU。51系列单片机包含以下几个部件: 一个8位CPU;一个片振荡器及时钟电路; 4KB的ROM程序存储器; 一个128B的RAM数据存储器; 寻址64KB外部数据存储器和64KB外部程序存储空间的控制电路; 32条可编程的I/O口线; 两个16位定时/计数器; 一个可编程全双工串行口; 5个中断源、两个优先级嵌套中断结构。51系列单片机如下图: 图1 51单片机引脚图

基于单片机的数字电压表设计

引言 数字电压表(Digital Voltmeter)简称DVM,它是采用数字化测量技术,把连续的模拟量(直流输入电压)转换成不连续、离散的数字形式并加以显示的仪表。传统的指针式电压表功能单一、精度低,不能满足数字化时代的需求,采用单片机的数字电压表,由精度高、抗干扰能力强,可扩展性强、集成方便。目前,由各种单片A/D 转换器构成的数字电压表,已被广泛用于电子及电工测量、工业自动化仪表、自动测试系统等智能化测量领域,示出强大的生命力。与此同时,由DVM扩展而成的各种通用及专用数字仪器仪表,也把电量及非电量测量技术提高到崭新水平。本论文重点介绍单片A/D 转换器以及由它们构成的基于单片机的数字电压表的工作原理。

1 实训要求 (1)基本要求: ①实现8路直流电压检测 ②测量电压范围0-5V ③显示指定电压通道和电压值 ④用按键切换显示通道 (2)发挥要求 ①测量电压范围为0-25V ②循环显示8路电压 2 实训目的 (1)进一步熟悉和掌握单片机的结构和工作原理; (2)掌握单片机的借口技术及,ADC0809芯片的特性,控制方法; (3)通过这次实训设计,掌握以单片机为核心的电路设计的基本方法和技术;(4)通过实际程序设计和调试,逐步掌握模块化程序设计的方法和调试技术。 3 实训意义 通过完成一个包括电路设计和程序开发的完整过程,使自身了解开发单片机应用系统的全过程,强化巩固所学知识,为以后的学习和工作打下基础。 4 总体实训方案 测量一个0——5V的直流电压,通过输入电路把信号送给AD0809,转换为数字信号再送至89s52单片机,通过其P1口经数码管显示出测量值。 4.1 结构框图 如图1—1所示 图1—1

交流数字电压表的设计

电气测量技术 课程设计 题目:交流电压表设计 学院:电气信息工程学院 专业班级:电气工程及其自动化1623 姓名:黄铭(201650712326) 完成时间:2017年5月26

目录 引言 (2) 1 测量原理及系统结构 (2) 2 硬件电路设计 (3) 2.1 A/D转换模块 (3) 2.2 单片机系统 (4) 2.2.1 AT89C51性能和功能 (4) 2.3 复位电路和时钟电路 (5) 2.3.1 复位电路设计 (5) 2.3.2 时钟电路设计 (5) 2.4 LED显示系统设计 (6) 2.4.1 LED显示器的选择 (6) 2.4.2 LED显示器与单片机接口设计 (7) 2.5 总体电路设计 (7) 3 软件设计 (9) 3.1 程序设计总方案 (9) 3.2 系统子程序设计 (9) 3.2.1 初始化程序 (9) 3.2.2 A/D转换子程序 (9) 3.2.3 显示子程序 (10) 4 仿真调试及测试结果 (11) 4.1 软件调试 (11)

4.2 显示结果及误差分析 (11) 4.2.1 显示结果 (11) 4.2.2 误差分析 (13) 结论 (14) 参考文献 (15) 引言 在电量的测量中,电压、电流和频率是最基本的三个被测量,其中电压量的测量最为经常。而且随着电子技术的发展,更是经常需要测量高精度的电压,所以数字电压表就成为一种必不可少的测量仪器。数字电压表简称DVM,它是采用数字化测量技术,把连续的模拟量转换成不连续、离散的数字形式并加以显示的仪表。由于数字式仪器具有读数准确方便、精度高、误差小、测量速度快等特而得到广泛应用。 传统的指针式刻度电压表功能单一,进度低,容易引起视差和视觉疲劳,因而不能满足数字化时代的需要。采用单片机的数字电压表,将连续的模拟量如直流电压转换成不连续的

双通道数字电压表课程设计

目录 1 引言.......................................................... - 2 - 2设计原理及要求................................................ - 2 - 2.1数字电压表的实现原理..................................... - 2 - 2.2数字电压表的设计要求..................................... - 2 - 3软件仿真电路设计................................. 错误!未定义书签。 3.1设计思路.................................... 错误!未定义书签。 3.3设计过程.................................... 错误!未定义书签。 3.4 AT89C51的功能介绍....................................... - 3 - 3.4.1简单概述........................................... - 3 - 3.4.2主要功能特性....................................... - 3 - 3.4.3 AT89C51的引脚介绍................................. - 3 - 3.5 ADC0808的引脚及功能介绍................................. - 5 - 3.5.1芯片概述........................................... - 5 - 3.5.2 引脚简介........................................... - 5 - 3.5.3 ADC0808的转换原理................................. - 6 - 3.6 74LS373芯片的引脚及功能................................. - 6 - 3.6.1芯片概述........................................... - 6 - 3.6.2引脚介绍........................................... - 6 - 3.7 LED数码管的控制显示..................................... - 7 - 3.7.1 LED数码管的模型................................... - 7 - 3.7.2 LED数码管的接口简介............................... - 7 - 4系统软件程序的设计............................... 错误!未定义书签。 4.1 主程序................................................. - 15 - 4.2 A/D转换子程序.......................................... - 16 - 4.3 中断显示程序............................... 错误!未定义书签。5电压表的调试及性能分析........................... 错误!未定义书签。 5.1 调试与测试................................. 错误!未定义书签。 5.2 性能分析............................................... - 17 - 6电路仿真图....................................... 错误!未定义书签。7总结......................................................... - 14 - 参考文献........................................... 错误!未定义书签。

数字电压表课程设计实验报告

自动化与电气工程学院 电子技术课程设计报告 题目数字电压表的制作 专业 班级 学号 学生姓名 指导教师 二○一三年七月

一、课程设计的目的与意义 1.课程设计的主要目的,是通过电子技术综合设计,熟悉一般电子电路综合设计过程、设计要求、完成的工作内容和具体的设计方法。 2.同时了解双积分式A/D转换器ICL7107的性能及其引脚功能,熟悉集成电路ICL7107构成直流数字电压表的使用方法,并掌握其在电路中的工作原理。 3.通过设计也有助于复习和巩固以往的模电、数电内容,达到灵活应用的目的。在完成设计后还要将设计的电路进行安、调试以加强学生的动手能力。在此过过程中培养从事设计工作的整体观念。 4.利用双积分式A/D转换器ICL7107设计一数字电压表,量程为-1.99—+1.99,通过七段数码管显示。 二、电路原理图 数字电压表原理图

三、课程设计的元器件 1.课程设计所使用的元器件清单: 2.主要元器件介绍 (1)芯片ICL7107: ICL7107的工作原理 双积分型A/D转换器ICL7107是一种间接A/D转换器。它通过对输入模拟电压和参考电压分别进行两次积分,将输入电压平均值变换成与之成正比的时间间隔,然后利用脉冲时间间隔,进而得出相应的数字性输出。 它的原理性框图如图所示,它包括积分器、比较器、计数器,控制逻辑和时钟信号源。积分器是A/D转换器的心脏,在一个测量周期内,积分器先后对输入信号电压和基

准电压进行两次积分。比较器将积分器的输出信号与零电平进行比较,比较的结果作为数字电路的控制信一号。时钟信号源的标准周期Tc 作为测量时间间隔的标准时间。它是由内部的两个反向器以及外部的RC组成的。其振荡周期Tc=2RCIn1.5=2.2RC 。 ICL7106A/D转换器原理图 计数器对反向积分过程的时钟脉冲进行计数。控制逻辑包括分频器、译码器、相位驱动器、控制器和锁存器。 分频器用来对时钟脉冲逐渐分频,得到所需的计数脉冲fc和共阳极LED数码管公共电极所需的方波信号fc。 译码器为BCD-7段译码器,将计数器的BCD码译成LED数码管七段笔画组成数字的相应编码。 驱动器是将译码器输出对应于共阳极数码管七段笔画的逻辑电平变成驱动相应笔画的方波。 控制器的作用有三个:第一,识别积分器的工作状态,适时发出控制信号,使各模拟开关接通或断开,A/D转换器能循环进行。第二,识别输入电压极性,控制LED 数码管的负号显示。第二,当输入电压超量限时发出溢出信号,使千位显示“1" ,其余码全部熄灭。 钓锁存器用来存放A/D转换的结果,锁存器的输出经译码器后驱动LED 。它的每个测量周期自动调零(AZ)、信号积分(INT)和反向积分(DE)三个阶段。

基于51单片机的数字电压表设计

目录 摘要........................................................................ I 1 绪论. (1) 1.1数字电压表介绍 (1) 1.2仿真软件介绍 (1) 1.3 本次设计要求 (2) 2 单片机和AD相关知识 (3) 2.1 51单片机相关知识 (3) 2.2 AD转换器相关知识 (4) 3 数字电压表系统设计 (5) 3.1系统设计框图 (5) 3.2 单片机电路 (5) 3.3 ADC采样电路 (6) 3.4显示电路 (6) 3.5供电电路和参考电压 (7) 3.6 数字电压表系统电路原理图 (7) 4 软件设计 (8) 4.1 系统总流程图 (8) 4.2 程序代码 (8) 5 数字电压表电路仿真 (15) 5.1 仿真总图 (15) 5.2 仿真结果显示 (15) 6 系统优缺点分析 (16) 7 心得体会 (17) 参考文献 (18)

1 绪论 1.1数字电压表介绍 数字电压表简称DVM,数字电压表基本原理是将输入的模拟电压信号转化为数字信号,再进行输出显示。而A/D转换器的作用是将连续变化的模拟信号量转化为离散的数字信号,器基本结构是由采样保持,量化,编码等几部分组成。因此AD转换是此次设计的核心元件。输入的模拟量经过AD转换器转换,再由驱动器驱动显示器输出,便得到测量的数字电压。 本次自己的设计作品从各个角度分析了AD转换器组成的数字电压表的设计过程及各部分电路的组成及原理,并且分析了数模转换进而使系统运行起来的原理及方法。通过自己的实践提高了动手能力,也只有亲历亲为才能收获掌握到液晶学过的知识。其实也为建立节约成本的意识有些帮助。本次设计同时也牵涉到了几个问题:精度、位数、速度、还有功耗等不足之处,这些都是要慎重考虑的,这些也是在本次设计中的收获。 1.2仿真软件介绍 Proteus ISIS是英国Labcenter公司开发的电路分析与实物仿真软件。它运行于Windows 操作系统上,可以仿真、分析(SPICE)各种模拟器件和集成电路,该软件的特点是: (1)现了单片机仿真和SPICE电路仿真相结合。具有模拟电路仿真、数字电路仿真、单片机及其外围电路组成的系统的仿真、RS232动态仿真、I2C调试器、SPI调试器、键盘和LCD系统仿真的功能;有各种虚拟仪器,如示波器、逻辑分析仪、信号发生器等。 (2)支持主流单片机系统的仿真。目前支持的单片机类型有:68000系列、8051系列、 A VR系列、PIC12系列、PIC16系列、PIC18系列、Z80系列、HC11系列以及各种外围芯片。 (3)提供软件调试功能。在硬件仿真系统中具有全速、单步、设置断点等调试功能,同时可以观察各个变量、寄存器等的当前状态,因此在该软件仿真系统中,也必须具有这些功能;同时支持第三方的软件编译和调试环境,如Keil C51 uVision2等软件。 (4)具有强大的原理图绘制功能。 可以仿真51系列、A VR、PIC、ARM、等常用主流单片机。还可以直接在基于原理图的虚拟原型上编程,再配合显示及输出,能看到运行后输入输出的效果。配合系统配置的

基于LABVIEW的数字电压表的设计

学号 XX 虚拟仪器 学生姓名XX 专业班级XX

基于LABVIEW的数字电压表的设计 一、设计目的 1.掌握数字电压表的基本原理和方法。 2.基于LabView设计数字电压表并实现。 二、设计原理 电压是电路中常用的电信号,通过电压测量,利用基本公式可以导出其他的参数。因此,电压测量是其他许多电参数和非电参数量的基础。测量电压相当普及的一种测量仪表就是电压表,但常用的是模拟电压表。模拟电压表根据检波方式的不同。分为峰值电压表、均值电压表和平均值电压表,它们都各自做成独立的仪表。这样,使用模拟电压表进行交流电压测量时,必须根据测量要求选择仪表。另外,多数电压表的表头是按正弦交流有效值刻度的,而测量非正弦波时,必须经过换算才能得到正确的测量结果,从而给实际工作带来不便。 采用虚拟电压表,可将表征交流电压特征的峰值、平均值和有效值集中显示在一块面板上,测量时可根据波形在面板上选择仪表,用户仅通过面板指示值就能对测量结果进行分析比较,大大简化了测量步骤。 三、设计思路 LabVIEw 8.5版本的工程技术比以往任何一个版本都丰富.它采用了英文界面,各个控件的功能一目了然。利用它全新的用户界面对象和功能,能开发出专业化、可完全自定义的前面板。LabVIEW 8.2对数学、信号处理和分析也进行了重大的补充和完善,信号处理分析和数学具有更为全面和强大的库,其中包括500多个函数。所以在LabVIEW 8.5版本下能够更方便地实现虚拟电压表的设计。 该电压表主要用于电路分析和模拟电子技术等实验课的教学和测量仪器,能够让使用者了解和掌握电压的测量和电压表对各种波形的不同响应。因此,虚拟电压表应具备电源开关控制、波形选择,以及显示峰值、有效值和平均值三种结果,且输入信号的大小可调节等功能。所以,用软件虚拟了一个信号发生器。该信号发生器可产生正弦波、方波和三角波,还可以输入公式,产生任意波形。根据需要,可调节面板上的控件来改变信号的频率和幅度等可调参数,然后检测电压表的运行情况。因此,在LabVIEW图形语言环境下设计的虚拟电压表主要分为

自动测试实验数据采集系统的设计------多通道数字电压表的实现

实验三数据采集系统的设计 ——多通道数字电压表的实现 一、实验目的和要求 1.熟悉仿真器的使用方法; 2.了解教学实验系统的结构和地址译码方式; 3.掌握仪器系统中对模拟量信号的数据采集方法,了解数据采集系统的组成及单片机中的两种实现方法——利用外接专用ADC器件完成,利用片内ADC部件完成; 4.掌握系统中ADC接口的实现方法,进一步熟悉ADC0809的使用方法; 5.基本掌握智能仪器中数据运算和数据处理的方法; 6.体会一个典型仪器系统的总体设计思路 二、实验内容 模拟信号是最常见的被测信号,对它的采集与测量是自动化测试仪器中很重要的一部分。在许多高性能单片机内部拥有ADC部件,具有直接ADC功能。在没有片内ADC部件的单片机中,可直接选用专用ADC器件来完成。逐次比较式ADC器件转换速度快,性能价格比高,是当前ADC技术的主流,在本实验中以ADC0809为例来实现多通道数据采集过程。 1.利用实验系统上提供的ADC0809接口电路,当寻址为8000H~8007H时,可分别实现对VX0—VX7八个通道的模/数转换,

被测模拟电压有自制的+5V电阻分压网络提供,通过对ADC结束信号EOC的查询完成ADC结果的读入。如此循环采集每个通道10次,将所得数据一次存入片内RAM单元。 2.将每个通道10次采集所得的数据进行数字滤波处理,可采用限幅滤波和算术平均滤波或中值滤波的方法,并将结果依次存入指定的外部RAM单元。 3.将存入指定的外部RAM单元的十六进制被测数据通过标度转换变成十进制结果存入相应的外部RAM单元。 4.调用可手动切换的显示子程序(即第一节实验中的用上行/下行按键,手动控制显示程序),将八个通道的结果显示在LED数码管上。 流程图可参考图3-1 图3-1 三、实验仪器、设备(软、硬件)及仪器使用说明 1.计算机

#简易数字电压表的设计

一、简易数字电压表的设计 l .功能要求 简易数字电压表可以测量0~5V 的8路输入电压值,并在四位LED 数码管上轮流显示或单路选择显示。测量最小分辨率为0.019 V ,测量误差约为土0.02V 。 2.方案论证 按系统功能实现要求,决定控制系统采用A T89C52单片机,A /D 转换采用ADC0809。系统除能确保实现要求的功能外,还可以方便地进行8路其它A /D 转换量的测量、远程测量结果传送等扩展功能。数字电压表系统设计方案框图如图1-1。 3.系统硬件电路的设 计 简易数字电压测量电 路由A /D 转换、数据处 理及显示控制等组成,电 路原理图如图1-2所示。A /D 转换由集成电路0809完 成。0809具有8路模拟输人 端口,地址线(23~25脚)可决定对哪一路模拟输入作A /D 转换,22脚为地址锁存控制,当输入为高电平时,对地址信号进行锁存,6脚为测试控制,当输入一个2us 宽高电平脉冲时,就开始A /D 转换,7脚为A /D 转换结束标志,当A /D 转换结束时,7脚输出高电平,9脚为A /D 转换数据输出允许控制,当OE 脚为高电平时,A /D 转换数据从该端口输出,10脚为0809的时钟输入端,利用单片机30脚的六分频晶振频率再通过14024二分频得到1 MHz 时钟。单片机的P1、P3.0~P3.3端口作为四位LED 数码管显示控制。P3.5端口用作单路显示/循环显示转换按钮,P3.6端口用作单路显示时选择通道。P0端口作A /D 转换数据读入用,P2端口用作0809的A /D 转换控制。 4.系统程序的设计 (1)初始化程序 系统上电时,初始化程序将70H ~77H 内存单元清0,P2口置0。 (2)主程序 在刚上电时,系统默认为循环显示8个通道的电压值状态。当进行一次测量后,将 图1-1 数字电压表系统设计方案

8通道的数字电压表设计报告

8通道的数字电压表 设计方案

目录 第一章设计分析 (1) 第二章硬件电路分析 (3) 2.1单片机AT89C51的分析 (3) 2.2 ADC0808的分析 (4) 2.3显示译码器和LED分析 (5) 第三章程序设计分析 (6) 3.1主函数 (6) 3.2A/D转换函数 (6) 3.4中断服务函数 (6) 第四章调试过程分析及仿真 (7) 第五章总结 (8) 第六章附录 (9)

第一章设计分析 设计一个8通道的电压表,基于AT89X51单片机(在professional中使用的AT89C51)和ADC0809(在professional中使用的ADC0808)芯片实现模数转换,由74247显示译码器和4位LED数码管连接并显示,具有通道自选和量程(0-5v 的电压)变换的功能。 设计方案如下: 采用定时器/计数器T0、T1定时,T0定时溢出中断时对P3.7取反,输出频率为10KHZ的方波信号,作为ADC0808的转换时钟信号,T1定时1MS,定时溢出中断后,在中断服务程序中完成在数码管显示A/D转换结果的任务。 采用主程序、子程序结构。主程序中完成定时器的初始化设置,产生A/D 转换的启动,在转换过程中判别转换是否结束。当转换结束时,让输入允许OE 有效,将转换结果通过P0口读到单片机内部RAM单元格储存。将二进制数转换为十进制数的程序设计成子程序,在主程序中调用。将LED数码管的动态显示设计成子程序,在T1的中断服务程序中调用。

第二章硬件电路分析 2.1单片机AT89C51的分析 AT89C51 的引脚 (1)工作电源端 Vcc:接+5V电源 Vss:接地 (2)晶振引脚(时钟电路) XTAL1:芯片内部振荡电路输入端。 XTAL2:芯片内部振荡电路输出端(3)并行I/O口引脚 (4)控制引脚

虚拟数字电压表的设计

摘要 LabVIEw 8.5版本的工程技术比以往任何一个版本都丰富.它采用了中文界面,各个控件的功能一目了然。利用它全新的用户界面对象和功能,能开发出专业化、可完全自定义的前面板。LabVIEw 8.5对数学、信号处理和分析也进行了重大的补充和完善,信号处理分析和数学具有更为全面和强大的库,其中包括500多个函数。所以在LabVIEw 8.5版本下能够更方便地实现虚拟电压表的设计。 虚拟电压表是基于计算机和标准总线技术的模块化系统,通常它由控制模块、仪器模块和软件组成,由软件编程来实现仪器的功能。在虚拟仪器中,计算机显示器是惟一的交互界面,物理的开关、按键、旋钮以及数码管等显示器件均由与实物外观相似的图形控件来代替,操作人员只要通过鼠标或键盘操作虚拟仪器面板上的旋钮、开关、按键等设置各种参数,就能根据自己的需要定义仪器的功能。在虚拟电压表的设计中,考虑到仪器主要用于教学和实验,使用对象是学生,因此将引言中提到的三种检波方式的仪器合为一体,既简化了面板操作,又便于直接对比。 该电压表主要用于电路分析和模拟电子技术等实验课的教学和测量仪器,能够使学习者了解和掌握电压的测量和电压表对各种波形的不同响应。因此,虚拟电压表应具备电源开关控制、波形选择,以及显示峰值、有效值和平均值三种结果,且输入信号的大小可调节等功能。虚拟电压表由硬件设备与接口、设备驱动软件和虚拟仪器面板组成。其中,硬件设备与接口包括仪器接口设备和计算机,设备驱动软件是直接控制各种硬件接口的驱动程序,虚拟仪器通过底层设备驱动软件与真实的仪器系统进行通信,并以虚拟仪器面板的形式在计算机屏幕上显示与真实仪器面板操作相对应的各种控件。在此,用软件虚拟了一个信号发生器。该信号发生器可产生正弦波、方波和三角波,还可以输入公式,产生任意波形。根据需要,可调节面板上的控件来改变信号的频率和幅度等可调参数,然后检测电压表的运行情况。因此,在LabVIEW图形语言环境下设计的虚拟电压表主要分为两个部分:第一部分是虚拟电压表前面板的设计;第二部分是虚拟电压表流程图的设汁。

交流数字电压表的设计

目录 摘要 (1) Abstract: (1) 1 引言 (2) 2 设计总体方案 (2) 2.1设计要求 (2) 2.2 设计方案 (2) 3 硬件电路设计 (3) 3.1 A/D转换模块 (3) 3.2 单片机系统 (4) 3.2.1 AT89C51性能和功能 (4) 3.3 复位电路和时钟电路 (5) 3.3.1 复位电路设计 (5) 3.3.2 时钟电路设计 (6) 3.4 LED显示系统设计 (6) 3.4.1 LED显示器的选择 (6) 3.4.2 LED显示器与单片机接口设计 (7) 3.5 总体电路设计 (7) 4 程序设计 (9) 4.1 程序设计总方案 (9) 4.2 系统子程序设计 (9) 4.2.1 初始化程序 (9) 4.2.2 A/D转换子程序 (9) 4.2.3 显示子程序 (10) 5 仿真 (10) 5.1 软件调试 (10) 5.2 显示结果及误差分析 (11) 5.2.1 显示结果 (11) 5.2.2 误差分析 (13) 结论 (14) 参考文献 (14)

附录一程序代码 (16) 附录二仪器设备清单 (18) 致谢...................................................................................................................... 错误!未定义书签。

基于单片机的简易数字电压表的设计 摘要:本文介绍了一种基于单片机的简易数字电压表的设计。该设计主要由三个模块组成:A/D转换模块,数据处理模块及显示模块。A/D转换主要由芯片ADC0808来完成,它负责把采集到的模拟量转换为相应的数字量在传送到数据处理模块。数据处理则由芯片AT89C51来完成,其负责把ADC0808传送来的数字量经过一定的数据处理,产生相应的显示码送到显示模块进行显示;此外,它还控制着ADC0808芯片工作。 该系统的数字电压表电路简单,所用的元件较少,成本低,且测量精度和可靠性较高。此数字电压表可以测量0-5V的1路模拟直流输入电压值,并通过一个四位一体的7段数码管显示出来。 关键词:单片机;数字电压表;A/D转换;AT89C51;ADC0808 Design of Simple Digital Voltmeter Based on Single-chip Microcontroller Abstract:This paper which introduces a kind of simple digital voltmeter is based on single-chip microcontroller design. The circuit of the voltage meter is mainly consisted of three mould pieces: A/D converting mould piece, A/D converting is mainly completed by the ADC0808, it converts the collected analog data into the digital data and transmits the outcome to the manifestation controlling mould piece. Data processing is mainly completed by the AT89C51 chip, it processes the data produced by the ADC0808 chip and generates the right manifestation codes, also transmits the codes to the manifestation controlling mould piece. Also, the AT89C51 chip controls the ADC0808 chip to work. The voltmeter features in simple electrical circuit, lower use of elements, low cost, moreover, its measuring precision and reliability. The voltmeter is capable of measuring voltage inputs from 1 route ranging from 0 to 5 volt, and displaying the measurements though a digital code tube of 7 pieces of LED. Keywords:Single-chip microcontroller; Digital voltmeter; A/D converter; AT89C51; ADC0808

基于51单片机的数字电压表adc0808多种设计方案单通道、ADC0809双通道、多通道可选

基于ADC0809的数字电压表 摘要:数字电压表简称DVM,它是采用数字化测量技术,把连续的模拟量转换成不连续、离散的数字形式并加以显示的仪表,是诸多数字化仪表的核心与基础,以数字电压表为核心,可以扩展成各种通用数字仪表,专用数字仪表一级各种非电量的数字化仪表几乎覆盖了电子电工测量、工业测量、自动化仪表等各个领域,它的应用已经非常普及了,数字电压表的主要技术指标在:测量范围,显示位数,测量速度,分辨率等方面。 本文是一基于单片机的数字电压表设计为研究内容。首先对数字电压表作了简单的介绍、接着对A/D转换器作了解、单片机AT89C51与ADC0809的数字电压表的制作原理和系统设计,主要介绍了数字电压表的硬件电路、软件电路和利用Proteus仿真软件进行仿真等内容,以及设计的数字电压表的实用价值和优点。 关键词:AT89C51 ADC0809 A/D转换器 Proteus仿真软件 基于ADC0808与ADC0809的数字电压表有多种设计方案 第一种,最基础的一通道,数据进行处理显示0.00——5.00V 第二种,双通道,数据进行处理显示0.00——5.00V,可先择某一通道显示,可以选择两通道循环显示。 第三种,多通道,数据进行处理显示0.00——5.00V,多通道循环显示。 第四种,多通道,数据进行处理显示0.00——5.00V,可切换单通道显示与多通道循环显示。

(二)系统的主要模块 根据设计要求,系统可以分为A/D转换模块、接口模块、显示模块。 1. A/D转换模块 采用ADC0809转换芯片,其中A/D转换器用于实现模拟量向数字量的转换,单电源供电。它是具有8路模拟量输入、8位数字量输出功能的A/D转换器,转换时间为100us,模拟输入电压范围为0V~5V,不需要零点和满刻度校准,功耗低,约15mW。 2. 接口模块 采用AT89C51单片机作为系统的控制单元,通过A/D转换将被测量转换为数字量送入单片机中,再由单片机产生显示码送入显示模块显示。此方案各种功能易于实现,成本低、功耗低,显示稳定。 3.方案设计的基本思路 设计主要采用AT89C51单片机芯片和ADC0809模/数转换芯片来完成一个简易的数字电压表,能够对输入的0V~5V的模拟直流电压进行测量。设计电路主要通

多功能数字电压表课程设计

1.设计主要内容及要求; 设计一个多功能数字电压表。 要求:1)硬件电路设计,包括原理图和PCB板图。 2)数字电压表软件设计。 3)要求能够测量并显示直流电压、交流电压,测量范围0.002V---2V。 2.对设计论文撰写内容、格式、字数的要求; (1).课程设计论文是体现和总结课程设计成果的载体,一般不应少于3000字。 (2).学生应撰写的内容为:中文摘要和关键词、目录、正文、参考文献等。课程设计论文的结构及各部分内容要求可参照《沈阳工程学院毕业设计(论文)撰写规范》执行。应做到文理通顺,内容正确完整,书写工整,装订整齐。 (3).论文要求打印,打印时按《沈阳工程学院毕业设计(论文)撰写规范》的要求进行打印。 (4). 课程设计论文装订顺序为:封面、任务书、成绩评审意见表、中文摘要和关键词、目录、正文、参考文献。 3.时间进度安排;

中文摘要 随着微型计算机及微电子技术在测试领域中的广泛应用,仪器仪表在测量原理、准确度、灵敏度、可靠性、多种功能及自动化水平等方面都发生了巨大的变化,逐步形成了完全突破传统概念的新一代仪器——智能仪器。智能化是现代仪器仪表的发展趋势,许多嵌入式系统、电子技术和现场总线领域的新技术被应用于智能仪器仪表的设计,尤其是嵌入式系统的许多新的理念极大地促进了智能仪器仪表技术的发展。 今年来,随着大规模集成电路的发展,有单片A/D转换器构成的数字电压表获得了迅速普及和广泛应用,它是目前在电子测量及维修工作中最常用、最得力的一种工具类数字仪表。数字电压表具有很高的性价比,其主要优点是准确度高、分辨力强测试功能完善、测量速率快、显示直观。 测试仪器的智能化已是现代仪器仪表发展的主流方向。因此学习智能仪器的工作原理、掌握新技术和设计方法无疑是十分重要的。 关键词智能,数字,电压表,仪器仪表

简易数字直流电压表的设计

电子制作课程考核报告 课程名称简易数字直流电压表的设计 学生姓名贾晋学号1313014041 所在院(系)物理与电信工程 专业班级电子信息工程1302 指导教师秦伟 完成地点 PC PROTEUS 2015年 6 月 13 日

简易数字直流电压表的设计 简易数字直流电压表的设计 摘要本文介绍一种基于AT89C51单片机的简易数字电压表的设计。该设计主要由三个模块组成:A/D转换模块,数据处理模块及显示模块。A/D转换芯片为ADC0808,它主要负责把采集到的模拟量转换为数字量再传送到数据处理模块。数据处理则是由芯片AT89C51来完成,主要负责把ADC0808传送来的数字量经过一定的数据处理,产生相应的显示码送到显示模块进行显示;并且,它还控制着ADC0808芯片工作。 该系统的数字电压表电路简单,所用的元件较少,成本低,且测量精度和可靠性较高。此数字电压表可以测量0-200V的模拟直流输入电压值,并通过数码管显示。 关键词单片机;数字电压表;AT89C51;ADC0808

目录 1 引言............................................................................................... 2 总体设计方案............................................................................... 2.1设计要求 ............................................................................... 2.2 设计思路 .............................................................................. 2.3 设计方案 .............................................................................. 3 详细设计....................................................................................... 3.1 A/D转换模块 .................................................................... 3.2 单片机系统 ........................................................................ 3.3 时钟电路 ............................................................................ 3.4 LED显示系统设计 ........................................................... 3.5 总体电路设计 .................................................................... 4 程序设计....................................................................................... 4.1 程序设计总方案 ................................................................ 4.2 系统子程序设计 ................................................................ 5 仿真............................................................................................. 5.1 软件调试 (11) 5.2 显示结果及误差分析 ........................................................ 结论................................................................................................. 参考文献........................................................................................... 附录...................................................................................................

相关文档
最新文档